diff --git a/stitch_project/stitch/LockInAccumulator.vhd b/stitch_project/stitch/LockInAccumulator.vhd index ba50b660fa98da4239e2363cb764e21c7bf5eed7..f8cabecd08cda9b1076b7c7f6bff9860cf380bad 100644 --- a/stitch_project/stitch/LockInAccumulator.vhd +++ b/stitch_project/stitch/LockInAccumulator.vhd @@ -51,29 +51,39 @@ signal dataInternal : STD_LOGIC_VECTOR(20 downto 0); signal trigInternal : STD_LOGIC := '0'; signal enableInternal : STD_LOGIC := '1'; signal clearInternal : STD_LOGIC := '0'; +signal bypassInternal : STD_LOGIC := '0'; signal n_samples_int : STD_LOGIC_VECTOR(31 downto 0) := (others => '0'); signal idle_int: STD_LOGIC := '1'; +signal sinApplied : STD_LOGIC_VECTOR(20 downto 0) := (others => '0'); +signal cosApplied : STD_LOGIC_VECTOR(20 downto 0) := (others => '0'); +signal dataApplied : STD_LOGIC_VECTOR(20 downto 0) := (others => '0'); + +signal dataAccumSin : STD_LOGIC_VECTOR(69 downto 0); +signal dataAccumCos : STD_LOGIC_VECTOR(69 downto 0); + --Compontents here COMPONENT multiaddSin PORT ( clk : IN STD_LOGIC; ce : IN STD_LOGIC; sclr : IN STD_LOGIC; + bypass : IN STD_LOGIC; a : IN STD_LOGIC_VECTOR(20 DOWNTO 0); b : IN STD_LOGIC_VECTOR(20 DOWNTO 0); - s : OUT STD_LOGIC_VECTOR(63 DOWNTO 0) -); + s : OUT STD_LOGIC_VECTOR(69 DOWNTO 0) + ); END COMPONENT; COMPONENT multiaddCos PORT ( clk : IN STD_LOGIC; ce : IN STD_LOGIC; sclr : IN STD_LOGIC; + bypass : IN STD_LOGIC; a : IN STD_LOGIC_VECTOR(20 DOWNTO 0); b : IN STD_LOGIC_VECTOR(20 DOWNTO 0); - s : OUT STD_LOGIC_VECTOR(63 DOWNTO 0) -); + s : OUT STD_LOGIC_VECTOR(69 DOWNTO 0) + ); END COMPONENT; @@ -81,10 +91,12 @@ END COMPONENT; begin -iMultiaddSin: multiaddSin port map(trigInternal, enableInternal, clearInternal, sinInternal, dataInternal, dataSin); -iMultiaddCos: multiaddCos port map(trigInternal, enableInternal, clearInternal, cosInternal, dataInternal, dataCos); +iMultiaddSin: multiaddSin port map(CLK100, enableInternal, clearInternal, bypassInternal, sinApplied, dataApplied, dataAccumSin); +iMultiaddCos: multiaddCos port map(CLK100, enableInternal, clearInternal, bypassInternal, cosApplied, dataApplied, dataAccumCos); n_samples <= n_samples_int; idle <= idle_int; +dataSin <= dataAccumSin(69 downto 6); +dataCos <= dataAccumSin(69 downto 6); @@ -111,9 +123,13 @@ begin sinInternal <= sin; cosInternal <= cos; dataInternal <= data; + sinApplied <= (others => '0'); + cosApplied <= (others => '0'); + dataApplied <= (others => '0'); trigInternal <= '0'; enableInternal <= '1'; clearInternal <= '0'; + bypassInternal <= '0'; n_samples_int <= n_samples_int; idle_int <= '1'; if trig_old = '0' and trig = '1' and enable = '1' then @@ -129,9 +145,13 @@ begin sinInternal <= sinInternal; cosInternal <= cosInternal; dataInternal <= dataInternal; + sinApplied <= sinInternal; + cosApplied <= cosInternal; + dataApplied <= dataInternal; trigInternal <= '1'; enableInternal <= '1'; clearInternal <= '0'; + bypassInternal <= '0'; n_samples_int <= n_samples_int + 1; idle_int <= '0'; current_state <= STATE_tr2; @@ -140,9 +160,13 @@ begin sinInternal <= sinInternal; cosInternal <= cosInternal; dataInternal <= dataInternal; + sinApplied <= (others => '0'); + cosApplied <= (others => '0'); + dataApplied <= (others => '0'); trigInternal <= '0'; enableInternal <= '1'; clearInternal <= '0'; + bypassInternal <= '0'; n_samples_int <= n_samples_int; idle_int <= '0'; current_state <= STATE_tr3; @@ -151,9 +175,13 @@ begin sinInternal <= sinInternal; cosInternal <= cosInternal; dataInternal <= dataInternal; + sinApplied <= (others => '0'); + cosApplied <= (others => '0'); + dataApplied <= (others => '0'); trigInternal <= '0'; enableInternal <= '1'; clearInternal <= '0'; + bypassInternal <= '0'; n_samples_int <= n_samples_int; idle_int <= '0'; current_state <= STATE_IDLE; @@ -162,9 +190,13 @@ begin sinInternal <= sinInternal; cosInternal <= cosInternal; dataInternal <= dataInternal; + sinApplied <= (others => '0'); + cosApplied <= (others => '0'); + dataApplied <= (others => '0'); trigInternal <= '0'; enableInternal <= '1'; - clearInternal <= '1'; + clearInternal <= '0'; + bypassInternal <= '1'; n_samples_int <= (others => '0'); idle_int <= '0'; current_state <= STATE_cl2; @@ -173,9 +205,13 @@ begin sinInternal <= sinInternal; cosInternal <= cosInternal; dataInternal <= dataInternal; + sinApplied <= (others => '0'); + cosApplied <= (others => '0'); + dataApplied <= (others => '0'); trigInternal <= '1'; enableInternal <= '1'; - clearInternal <= '1'; + clearInternal <= '0'; + bypassInternal <= '1'; n_samples_int <= n_samples_int; idle_int <= '0'; current_state <= STATE_cl3; @@ -184,9 +220,13 @@ begin sinInternal <= sinInternal; cosInternal <= cosInternal; dataInternal <= dataInternal; + sinApplied <= (others => '0'); + cosApplied <= (others => '0'); + dataApplied <= (others => '0'); trigInternal <= '0'; enableInternal <= '1'; - clearInternal <= '1'; + clearInternal <= '0'; + bypassInternal <= '1'; n_samples_int <= n_samples_int; idle_int <= '0'; current_state <= STATE_cl4; @@ -195,9 +235,13 @@ begin sinInternal <= sinInternal; cosInternal <= cosInternal; dataInternal <= dataInternal; + sinApplied <= (others => '0'); + cosApplied <= (others => '0'); + dataApplied <= (others => '0'); trigInternal <= '0'; enableInternal <= '1'; clearInternal <= '0'; + bypassInternal <= '0'; n_samples_int <= n_samples_int; idle_int <= '0'; current_state <= STATE_IDLE; diff --git a/stitch_project/stitch/Stitch.bin b/stitch_project/stitch/Stitch.bin index 04b25f364a8a568b6c1c5275b29e72266c870633..f7ee4580d99888835ad06d06b05fe66f5958d5e1 100644 Binary files a/stitch_project/stitch/Stitch.bin and b/stitch_project/stitch/Stitch.bin differ diff --git a/stitch_project/stitch/_xmsgs/pn_parser.xmsgs b/stitch_project/stitch/_xmsgs/pn_parser.xmsgs index 4cdaa9767e70148984c56ee97d0583b3eae6393f..a38ed26047276d5bf92a23d9e4339a8fd78998bd 100644 --- a/stitch_project/stitch/_xmsgs/pn_parser.xmsgs +++ b/stitch_project/stitch/_xmsgs/pn_parser.xmsgs @@ -8,8 +8,5 @@ <!-- Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. --> <messages> -<msg type="info" file="ProjectMgmt" num="1061" ><arg fmt="%s" index="1">Parsing VHDL file "/home/ise/iseprojects/stitch/stitch_project/stitch/Stitch.vhd" into library work</arg> -</msg> - </messages> diff --git a/stitch_project/stitch/ipcore_dir/Mem32b1024.gise b/stitch_project/stitch/ipcore_dir/Mem32b1024.gise index 0e6bb970e5a7431cbadfcd867201276c345e92ac..2d90edb54ec2b229b50ef838f83de185ebafc1b7 100644 --- a/stitch_project/stitch/ipcore_dir/Mem32b1024.gise +++ b/stitch_project/stitch/ipcore_dir/Mem32b1024.gise @@ -27,6 +27,27 @@ <file xil_pn:fileType="FILE_VHO" xil_pn:name="Mem32b1024.vho" xil_pn:origination="imported"/> </files> - <transforms xmlns="http://www.xilinx.com/XMLSchema"/> + <transforms xmlns="http://www.xilinx.com/XMLSchema"> + <transform xil_pn:end_ts="1719622862" xil_pn:name="TRAN_copyInitialToXSTAbstractSynthesis" xil_pn:start_ts="1719622862"> + <status xil_pn:value="SuccessfullyRun"/> + <status xil_pn:value="ReadyToRun"/> + </transform> + <transform xil_pn:end_ts="1724680524" xil_pn:name="TRAN_schematicsToHdl" xil_pn:prop_ck="3185129189393661258" xil_pn:start_ts="1724680524"> + <status xil_pn:value="SuccessfullyRun"/> + <status xil_pn:value="ReadyToRun"/> + </transform> + <transform xil_pn:end_ts="1724680524" xil_pn:name="TRAN_regenerateCores" xil_pn:prop_ck="7316991560476725013" xil_pn:start_ts="1724680524"> + <status xil_pn:value="SuccessfullyRun"/> + <status xil_pn:value="ReadyToRun"/> + </transform> + <transform xil_pn:end_ts="1724680524" xil_pn:name="TRAN_SubProjectAbstractToPreProxy" xil_pn:start_ts="1724680524"> + <status xil_pn:value="SuccessfullyRun"/> + <status xil_pn:value="ReadyToRun"/> + </transform> + <transform xil_pn:end_ts="1724680524" xil_pn:name="TRAN_xawsTohdl" xil_pn:prop_ck="-4997764571106617334" xil_pn:start_ts="1724680524"> + <status xil_pn:value="SuccessfullyRun"/> + <status xil_pn:value="ReadyToRun"/> + </transform> + </transforms> </generated_project> diff --git a/stitch_project/stitch/ipcore_dir/MemNsamp.gise b/stitch_project/stitch/ipcore_dir/MemNsamp.gise index 88550faa868a459e60d589c34b7998b8d6cc7bf1..6feaef48ff0126819964739a77581663c53fd3fe 100644 --- a/stitch_project/stitch/ipcore_dir/MemNsamp.gise +++ b/stitch_project/stitch/ipcore_dir/MemNsamp.gise @@ -27,6 +27,27 @@ <file xil_pn:fileType="FILE_VHO" xil_pn:name="MemNsamp.vho" xil_pn:origination="imported"/> </files> - <transforms xmlns="http://www.xilinx.com/XMLSchema"/> + <transforms xmlns="http://www.xilinx.com/XMLSchema"> + <transform xil_pn:end_ts="1719622862" xil_pn:name="TRAN_copyInitialToXSTAbstractSynthesis" xil_pn:start_ts="1719622862"> + <status xil_pn:value="SuccessfullyRun"/> + <status xil_pn:value="ReadyToRun"/> + </transform> + <transform xil_pn:end_ts="1724680524" xil_pn:name="TRAN_schematicsToHdl" xil_pn:prop_ck="-6820686459059339956" xil_pn:start_ts="1724680524"> + <status xil_pn:value="SuccessfullyRun"/> + <status xil_pn:value="ReadyToRun"/> + </transform> + <transform xil_pn:end_ts="1724680524" xil_pn:name="TRAN_regenerateCores" xil_pn:prop_ck="-7915863299109585449" xil_pn:start_ts="1724680524"> + <status xil_pn:value="SuccessfullyRun"/> + <status xil_pn:value="ReadyToRun"/> + </transform> + <transform xil_pn:end_ts="1724680524" xil_pn:name="TRAN_SubProjectAbstractToPreProxy" xil_pn:start_ts="1724680524"> + <status xil_pn:value="SuccessfullyRun"/> + <status xil_pn:value="ReadyToRun"/> + </transform> + <transform xil_pn:end_ts="1724680524" xil_pn:name="TRAN_xawsTohdl" xil_pn:prop_ck="5925673752377620492" xil_pn:start_ts="1724680524"> + <status xil_pn:value="SuccessfullyRun"/> + <status xil_pn:value="ReadyToRun"/> + </transform> + </transforms> </generated_project> diff --git a/stitch_project/stitch/ipcore_dir/MemSinCos.gise b/stitch_project/stitch/ipcore_dir/MemSinCos.gise index c452c0c175c28e0c39ac0e7767b25c9d46cb231b..362b1f23dd097cd90906419c96c654b14adfeeab 100644 --- a/stitch_project/stitch/ipcore_dir/MemSinCos.gise +++ b/stitch_project/stitch/ipcore_dir/MemSinCos.gise @@ -27,6 +27,27 @@ <file xil_pn:fileType="FILE_VHO" xil_pn:name="MemSinCos.vho" xil_pn:origination="imported"/> </files> - <transforms xmlns="http://www.xilinx.com/XMLSchema"/> + <transforms xmlns="http://www.xilinx.com/XMLSchema"> + <transform xil_pn:end_ts="1719622862" xil_pn:name="TRAN_copyInitialToXSTAbstractSynthesis" xil_pn:start_ts="1719622862"> + <status xil_pn:value="SuccessfullyRun"/> + <status xil_pn:value="ReadyToRun"/> + </transform> + <transform xil_pn:end_ts="1724680524" xil_pn:name="TRAN_schematicsToHdl" xil_pn:prop_ck="-2788987222142637780" xil_pn:start_ts="1724680524"> + <status xil_pn:value="SuccessfullyRun"/> + <status xil_pn:value="ReadyToRun"/> + </transform> + <transform xil_pn:end_ts="1724680524" xil_pn:name="TRAN_regenerateCores" xil_pn:prop_ck="4978056458918207415" xil_pn:start_ts="1724680524"> + <status xil_pn:value="SuccessfullyRun"/> + <status xil_pn:value="ReadyToRun"/> + </transform> + <transform xil_pn:end_ts="1724680524" xil_pn:name="TRAN_SubProjectAbstractToPreProxy" xil_pn:start_ts="1724680524"> + <status xil_pn:value="SuccessfullyRun"/> + <status xil_pn:value="ReadyToRun"/> + </transform> + <transform xil_pn:end_ts="1724680524" xil_pn:name="TRAN_xawsTohdl" xil_pn:prop_ck="6045739603124474860" xil_pn:start_ts="1724680524"> + <status xil_pn:value="SuccessfullyRun"/> + <status xil_pn:value="ReadyToRun"/> + </transform> + </transforms> </generated_project> diff --git a/stitch_project/stitch/ipcore_dir/_xmsgs/cg.xmsgs b/stitch_project/stitch/ipcore_dir/_xmsgs/cg.xmsgs index 3bb34c1b891e892856169082e34a510daf28dc47..3ba78be9cabac17eaf8ad2002f9629139a0e710c 100644 --- a/stitch_project/stitch/ipcore_dir/_xmsgs/cg.xmsgs +++ b/stitch_project/stitch/ipcore_dir/_xmsgs/cg.xmsgs @@ -8,16 +8,19 @@ <msg type="info" file="sim" num="172" delta="old" >Generating IP... </msg> -<msg type="info" file="sim" num="0" delta="new" ><arg fmt="%s" index="1">Pre-processing HDL files for 'Mem32b1024'...</arg> +<msg type="warning" file="sim" num="0" delta="new" ><arg fmt="%s" index="1">A core named 'multiaddCos' already exists in the project. Output products for this core may be overwritten.</arg> </msg> -<msg type="warning" file="sim" num="0" delta="new" ><arg fmt="%s" index="1">Overwriting existing file /home/ise/iseprojects/stitch/stitch_project/stitch/ipcore_dir/tmp/_cg/Mem32b1024/doc/blk_mem_gen_v7_3_vinfo.html with file from view xilinx_documentation</arg> +<msg type="warning" file="sim" num="0" delta="new" ><arg fmt="%s" index="1">A core named 'multiaddCos' already exists in the project. Output products for this core may be overwritten.</arg> </msg> -<msg type="info" file="sim" num="949" delta="new" >Finished generation of ASY schematic symbol. +<msg type="info" file="sim" num="0" delta="new" ><arg fmt="%s" index="1">Pre-processing HDL files for 'multiaddCos'...</arg> </msg> -<msg type="info" file="sim" num="948" delta="new" >Finished FLIST file generation. +<msg type="info" file="sim" num="949" delta="old" >Finished generation of ASY schematic symbol. +</msg> + +<msg type="info" file="sim" num="948" delta="old" >Finished FLIST file generation. </msg> </messages> diff --git a/stitch_project/stitch/ipcore_dir/_xmsgs/pn_parser.xmsgs b/stitch_project/stitch/ipcore_dir/_xmsgs/pn_parser.xmsgs index 8df20f9978dadbdbbf69db4922e0d81a1eb7bf74..b951a0bb1b1c8f99e640a1e35ba7a9f7d3bacf26 100644 --- a/stitch_project/stitch/ipcore_dir/_xmsgs/pn_parser.xmsgs +++ b/stitch_project/stitch/ipcore_dir/_xmsgs/pn_parser.xmsgs @@ -8,7 +8,7 @@ <!-- Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. --> <messages> -<msg type="info" file="ProjectMgmt" num="1061" ><arg fmt="%s" index="1">Parsing VHDL file "/home/ise/iseprojects/stitch/stitch_project/stitch/ipcore_dir/Mem32b1024.vhd" into library work</arg> +<msg type="info" file="ProjectMgmt" num="1061" ><arg fmt="%s" index="1">Parsing VHDL file "/home/ise/iseprojects/stitch/stitch_project/stitch/ipcore_dir/multiaddCos.vhd" into library work</arg> </msg> </messages> diff --git a/stitch_project/stitch/ipcore_dir/cordic.gise b/stitch_project/stitch/ipcore_dir/cordic.gise index 046195ebeacb0d2408f86e02663686ffc1bd3c70..d3d8c1d44b5cea40b157590d4d613450d11a441e 100644 --- a/stitch_project/stitch/ipcore_dir/cordic.gise +++ b/stitch_project/stitch/ipcore_dir/cordic.gise @@ -27,6 +27,27 @@ <file xil_pn:fileType="FILE_VHO" xil_pn:name="cordic.vho" xil_pn:origination="imported"/> </files> - <transforms xmlns="http://www.xilinx.com/XMLSchema"/> + <transforms xmlns="http://www.xilinx.com/XMLSchema"> + <transform xil_pn:end_ts="1719622862" xil_pn:name="TRAN_copyInitialToXSTAbstractSynthesis" xil_pn:start_ts="1719622862"> + <status xil_pn:value="SuccessfullyRun"/> + <status xil_pn:value="ReadyToRun"/> + </transform> + <transform xil_pn:end_ts="1724680524" xil_pn:name="TRAN_schematicsToHdl" xil_pn:prop_ck="-8782926585333300168" xil_pn:start_ts="1724680524"> + <status xil_pn:value="SuccessfullyRun"/> + <status xil_pn:value="ReadyToRun"/> + </transform> + <transform xil_pn:end_ts="1724680524" xil_pn:name="TRAN_regenerateCores" xil_pn:prop_ck="7818039811878659395" xil_pn:start_ts="1724680524"> + <status xil_pn:value="SuccessfullyRun"/> + <status xil_pn:value="ReadyToRun"/> + </transform> + <transform xil_pn:end_ts="1724680524" xil_pn:name="TRAN_SubProjectAbstractToPreProxy" xil_pn:start_ts="1724680524"> + <status xil_pn:value="SuccessfullyRun"/> + <status xil_pn:value="ReadyToRun"/> + </transform> + <transform xil_pn:end_ts="1724680524" xil_pn:name="TRAN_xawsTohdl" xil_pn:prop_ck="3776669437272252152" xil_pn:start_ts="1724680524"> + <status xil_pn:value="SuccessfullyRun"/> + <status xil_pn:value="ReadyToRun"/> + </transform> + </transforms> </generated_project> diff --git a/stitch_project/stitch/ipcore_dir/core_resources.txt b/stitch_project/stitch/ipcore_dir/core_resources.txt index 52bb028e5de71293cb6cb14e0bfd7704ff093963..67082da9e50dc78613d54dcccb835ab39a4b3ef4 100644 --- a/stitch_project/stitch/ipcore_dir/core_resources.txt +++ b/stitch_project/stitch/ipcore_dir/core_resources.txt @@ -1,5 +1,5 @@ BRAMs: 0 mults: 4 -LUTs : 64 -FFs : 64 +LUTs : 72 +FFs : 143 diff --git a/stitch_project/stitch/ipcore_dir/coregen.log b/stitch_project/stitch/ipcore_dir/coregen.log index d3e7e6c5c43a0dca7f9bea6aeec58aa521a46fdb..d6cdda59325d0c1c6f966838f0b3317a3c7fcad8 100644 --- a/stitch_project/stitch/ipcore_dir/coregen.log +++ b/stitch_project/stitch/ipcore_dir/coregen.log @@ -1,61 +1,69 @@ INFO:sim:172 - Generating IP... -Resolving generics for 'Mem32b1024'... -Applying external generics to 'Mem32b1024'... -Delivering associated files for 'Mem32b1024'... -Delivering EJava files for 'Mem32b1024'... -Generating implementation netlist for 'Mem32b1024'... -INFO:sim - Pre-processing HDL files for 'Mem32b1024'... -Running synthesis for 'Mem32b1024' +Applying current project options... +Finished applying current project options. +WARNING:sim - A core named 'multiaddCos' already exists in the project. Output + products for this core may be overwritten. +Resolving generics for 'multiaddCos'... +WARNING:sim - A core named 'multiaddCos' already exists in the project. Output + products for this core may be overwritten. +Applying external generics to 'multiaddCos'... +Delivering associated files for 'multiaddCos'... +Generating implementation netlist for 'multiaddCos'... +INFO:sim - Pre-processing HDL files for 'multiaddCos'... +Running synthesis for 'multiaddCos' Running ngcbuild... -Writing VHO instantiation template for 'Mem32b1024'... -Writing VHDL behavioral simulation model for 'Mem32b1024'... -WARNING:sim - Overwriting existing file - /home/ise/iseprojects/stitch/stitch_project/stitch/ipcore_dir/tmp/_cg/Mem32b1 - 024/doc/blk_mem_gen_v7_3_vinfo.html with file from view xilinx_documentation -Delivered 1 file into directory -/home/ise/iseprojects/stitch/stitch_project/stitch/ipcore_dir/tmp/_cg/Mem32b1024 +Writing VHO instantiation template for 'multiaddCos'... +Writing VHDL behavioral simulation model for 'multiaddCos'... +Delivered 3 files into directory +/home/ise/iseprojects/stitch/stitch_project/stitch/ipcore_dir/tmp/_cg/multiaddCo +s Generating ASY schematic symbol... INFO:sim:949 - Finished generation of ASY schematic symbol. -Generating SYM schematic symbol for 'Mem32b1024'... +Generating SYM schematic symbol for 'multiaddCos'... Generating metadata file... -Generating ISE project file for 'Mem32b1024'... Generating ISE project... -XCO file found: Mem32b1024.xco -XMDF file found: Mem32b1024_xmdf.tcl +XCO file found: multiaddCos.xco +XMDF file found: multiaddCos_xmdf.tcl Adding -/home/ise/iseprojects/stitch/stitch_project/stitch/ipcore_dir/tmp/_cg/Mem32b1024 -.asy -view all -origin_type imported +/home/ise/iseprojects/stitch/stitch_project/stitch/ipcore_dir/tmp/_cg/core_resou +rces.txt -view all -origin_type imported Adding -/home/ise/iseprojects/stitch/stitch_project/stitch/ipcore_dir/tmp/_cg/Mem32b1024 -.ngc -view all -origin_type created +/home/ise/iseprojects/stitch/stitch_project/stitch/ipcore_dir/tmp/_cg/gui_latenc +y.txt -view all -origin_type imported +Adding +/home/ise/iseprojects/stitch/stitch_project/stitch/ipcore_dir/tmp/_cg/multiaddCo +s.asy -view all -origin_type imported +Adding +/home/ise/iseprojects/stitch/stitch_project/stitch/ipcore_dir/tmp/_cg/multiaddCo +s.ngc -view all -origin_type created Checking file -"/home/ise/iseprojects/stitch/stitch_project/stitch/ipcore_dir/tmp/_cg/Mem32b102 -4.ngc" for project device match ... +"/home/ise/iseprojects/stitch/stitch_project/stitch/ipcore_dir/tmp/_cg/multiaddC +os.ngc" for project device match ... File -"/home/ise/iseprojects/stitch/stitch_project/stitch/ipcore_dir/tmp/_cg/Mem32b102 -4.ngc" device information matches project device. +"/home/ise/iseprojects/stitch/stitch_project/stitch/ipcore_dir/tmp/_cg/multiaddC +os.ngc" device information matches project device. Adding -/home/ise/iseprojects/stitch/stitch_project/stitch/ipcore_dir/tmp/_cg/Mem32b1024 -.sym -view all -origin_type imported +/home/ise/iseprojects/stitch/stitch_project/stitch/ipcore_dir/tmp/_cg/multiaddCo +s.sym -view all -origin_type imported Adding -/home/ise/iseprojects/stitch/stitch_project/stitch/ipcore_dir/tmp/_cg/Mem32b1024 -.vhd -view all -origin_type created +/home/ise/iseprojects/stitch/stitch_project/stitch/ipcore_dir/tmp/_cg/multiaddCo +s.vhd -view all -origin_type created INFO:HDLCompiler:1061 - Parsing VHDL file - "/home/ise/iseprojects/stitch/stitch_project/stitch/ipcore_dir/tmp/_cg/Mem32b - 1024.vhd" into library work + "/home/ise/iseprojects/stitch/stitch_project/stitch/ipcore_dir/tmp/_cg/multia + ddCos.vhd" into library work INFO:ProjectMgmt - Parsing design hierarchy completed successfully. Adding -/home/ise/iseprojects/stitch/stitch_project/stitch/ipcore_dir/tmp/_cg/Mem32b1024 -.vho -view all -origin_type imported +/home/ise/iseprojects/stitch/stitch_project/stitch/ipcore_dir/tmp/_cg/multiaddCo +s.vho -view all -origin_type imported INFO:TclTasksC:2116 - The automatic calculation of top has been turned-off. Please set the new top explicitly by running the "project set top" command. To re-calculate the new top automatically, set the "Auto Implementation Top" property to true. -Top level has been set to "/Mem32b1024" +Top level has been set to "/multiaddCos" Generating README file... Generating FLIST file... INFO:sim:948 - Finished FLIST file generation. Launching README viewer... Moving files to output directory... Finished moving files to output directory -Wrote CGP file for project 'Mem32b1024'. +Wrote CGP file for project 'multiaddCos'. diff --git a/stitch_project/stitch/ipcore_dir/edit_cordic.tcl b/stitch_project/stitch/ipcore_dir/edit_cordic.tcl index ced17c2b6ac1f665826f4adab637a7e80a498ca8..3e29c2ab97ec34be390e82504e6aeb476fd70be4 100644 --- a/stitch_project/stitch/ipcore_dir/edit_cordic.tcl +++ b/stitch_project/stitch/ipcore_dir/edit_cordic.tcl @@ -22,7 +22,7 @@ proc findRtfPath { relativePath } { source [ findRtfPath "data/projnav/scripts/dpm_cgUtils.tcl" ] -set result [ run_cg_edit "cordic" xc6slx4l-1Ltqg144 VHDL ] +set result [ run_cg_edit "cordic" xc6slx45-2csg324 VHDL ] if { $result == 0 } { puts "Core Generator edit command completed successfully." diff --git a/stitch_project/stitch/ipcore_dir/edit_multiaddCos.tcl b/stitch_project/stitch/ipcore_dir/edit_multiaddCos.tcl index f6f913dd038f0f9f7b0aa67230a6411efea1b348..1f1d2d1dba7aabbc0de75570f6cfd9ac745caf95 100644 --- a/stitch_project/stitch/ipcore_dir/edit_multiaddCos.tcl +++ b/stitch_project/stitch/ipcore_dir/edit_multiaddCos.tcl @@ -22,7 +22,7 @@ proc findRtfPath { relativePath } { source [ findRtfPath "data/projnav/scripts/dpm_cgUtils.tcl" ] -set result [ run_cg_edit "multiaddCos" xc6slx4l-1Ltqg144 VHDL ] +set result [ run_cg_edit "multiaddCos" xc6slx45-2csg324 VHDL ] if { $result == 0 } { puts "Core Generator edit command completed successfully." diff --git a/stitch_project/stitch/ipcore_dir/edit_multiaddSin.tcl b/stitch_project/stitch/ipcore_dir/edit_multiaddSin.tcl index a73c7e0951c73a1fb56f6905d3b4223f502dfb86..e3be091189df85d51d3a978e962d0361b54f5476 100644 --- a/stitch_project/stitch/ipcore_dir/edit_multiaddSin.tcl +++ b/stitch_project/stitch/ipcore_dir/edit_multiaddSin.tcl @@ -22,7 +22,7 @@ proc findRtfPath { relativePath } { source [ findRtfPath "data/projnav/scripts/dpm_cgUtils.tcl" ] -set result [ run_cg_edit "multiaddSin" xc6slx4l-1Ltqg144 VHDL ] +set result [ run_cg_edit "multiaddSin" xc6slx45-2csg324 VHDL ] if { $result == 0 } { puts "Core Generator edit command completed successfully." diff --git a/stitch_project/stitch/ipcore_dir/gui_latency.txt b/stitch_project/stitch/ipcore_dir/gui_latency.txt index 8d8cac8bff6a96222a0a06e197eeaea120b6c1eb..5106849931f11583af1799650ef2f72c38c64401 100644 --- a/stitch_project/stitch/ipcore_dir/gui_latency.txt +++ b/stitch_project/stitch/ipcore_dir/gui_latency.txt @@ -1,2 +1,2 @@ -Latency : 1 +Latency : 2 diff --git a/stitch_project/stitch/ipcore_dir/multiaddCos.asy b/stitch_project/stitch/ipcore_dir/multiaddCos.asy index b6df264f4828e71f5b7484f47a1bc963e3a780f9..45290d76a353a51ba271a0bfa50e2274414cb86f 100644 --- a/stitch_project/stitch/ipcore_dir/multiaddCos.asy +++ b/stitch_project/stitch/ipcore_dir/multiaddCos.asy @@ -18,12 +18,16 @@ LINE Normal 0 208 32 208 PIN 0 208 LEFT 36 PINATTR PinName ce PINATTR Polarity IN +LINE Normal 0 240 32 240 +PIN 0 240 LEFT 36 +PINATTR PinName bypass +PINATTR Polarity IN LINE Normal 0 272 32 272 PIN 0 272 LEFT 36 PINATTR PinName sclr PINATTR Polarity IN LINE Wide 288 80 256 80 PIN 288 80 RIGHT 36 -PINATTR PinName s[63:0] +PINATTR PinName s[69:0] PINATTR Polarity OUT diff --git a/stitch_project/stitch/ipcore_dir/multiaddCos.gise b/stitch_project/stitch/ipcore_dir/multiaddCos.gise index fcb4a9316e0f769235f611ac8e840b2e0671bc56..0839c246f0447811a31a01ddb9370d217e42e430 100644 --- a/stitch_project/stitch/ipcore_dir/multiaddCos.gise +++ b/stitch_project/stitch/ipcore_dir/multiaddCos.gise @@ -29,6 +29,27 @@ <file xil_pn:fileType="FILE_VEO" xil_pn:name="multiaddCos.veo" xil_pn:origination="imported"/> </files> - <transforms xmlns="http://www.xilinx.com/XMLSchema"/> + <transforms xmlns="http://www.xilinx.com/XMLSchema"> + <transform xil_pn:end_ts="1719622862" xil_pn:name="TRAN_copyInitialToXSTAbstractSynthesis" xil_pn:start_ts="1719622862"> + <status xil_pn:value="SuccessfullyRun"/> + <status xil_pn:value="ReadyToRun"/> + </transform> + <transform xil_pn:end_ts="1724680524" xil_pn:name="TRAN_schematicsToHdl" xil_pn:prop_ck="5836199371156688706" xil_pn:start_ts="1724680524"> + <status xil_pn:value="SuccessfullyRun"/> + <status xil_pn:value="ReadyToRun"/> + </transform> + <transform xil_pn:end_ts="1724680524" xil_pn:name="TRAN_regenerateCores" xil_pn:prop_ck="-7361811691951278067" xil_pn:start_ts="1724680524"> + <status xil_pn:value="SuccessfullyRun"/> + <status xil_pn:value="ReadyToRun"/> + </transform> + <transform xil_pn:end_ts="1724680524" xil_pn:name="TRAN_SubProjectAbstractToPreProxy" xil_pn:start_ts="1724680524"> + <status xil_pn:value="SuccessfullyRun"/> + <status xil_pn:value="ReadyToRun"/> + </transform> + <transform xil_pn:end_ts="1724680524" xil_pn:name="TRAN_xawsTohdl" xil_pn:prop_ck="4442281788066732546" xil_pn:start_ts="1724680524"> + <status xil_pn:value="SuccessfullyRun"/> + <status xil_pn:value="ReadyToRun"/> + </transform> + </transforms> </generated_project> diff --git a/stitch_project/stitch/ipcore_dir/multiaddCos.ngc b/stitch_project/stitch/ipcore_dir/multiaddCos.ngc index 357d15329d04ba4883860f33da1d7cc0291af2c7..b6adffc63355fb59c99f9183a46a19e25e7c67ec 100644 --- a/stitch_project/stitch/ipcore_dir/multiaddCos.ngc +++ b/stitch_project/stitch/ipcore_dir/multiaddCos.ngc @@ -1,3 +1,3 @@ XILINX-XDB 0.1 STUB 0.1 ASCII XILINX-XDM V1.6e -$6;`44<,[o}e~g`n;"2*73>(-80!<?4000852<NFY__6IGA<0494;7338=1CXZ_UU8GKPR;9?0;2<>4198JJUSS2H6:;7>11192<?OIX\^1N1?8:1<27>7?2@D[YY4MCHL?52<768:0=54FNQWW>A:6?3:5=?5>8;KMTPR=L@D7=:4?>0785=<H]]Z^X7LLOTV?52<768>0=54@UURVP?BH]]6:;7>11192>LHW]]0OEC2?:1<26>7=G\^[YY4KOTV?4?699919=7GAPTV9E947294:<6<>:HLSQQ<E4;:1<3??;339MKVR\3k7>=4?>02864<NFY__6o2=0;2=57=4>3CE\XZ5DHL?70<768>0?;4@UURVP?BH]]6897>110902?IR\Y__6@2<5;2=55=303CE\XZ5C=64>586;2>36D@_UU8SGLH;<>0;2<?4498LQQVR\3Z78:4?>0780=<H]]Z^X7^LOTV?02<768:087GAPTV9@LH;;3:5=?5;:NWWTPR=LF__0>4?>03821<H]]Z^X7]36283:47<>=0DYY^ZT;q?26<76o1=av;lusd512*9e;8754FNQWW>JVJGMO7;7>12:;51>G7ZLM>7L?]EF68E969=2K7==0:;@>25;3<I5;9285N<01=1>G;9=4>7L2>5?78E9716>1J0<950?78E9706<1J0<615:C?5<833H6:2:5N<3294;3<I58;295N<3<7?D:46=1J090;;@>6:1=F4?4?7L28>59B8=833H62285M0SGD1>D6ZLM?7O2?>49A8469=2H7=<0:;C>26;3<J5;8285M<06=1>D;9<4>7O2>6?58F970294>7O2>7?78F97?6<1I0<714:@?5;1<J58;6=0:;C>14;2<J58586L33?68F929<2H793:4B=4=0>D;?7>0N1614:@?=;1<JJCE0=07;CAJJ977611IOD@310<;?GENF5;9255MCHL?568?3KIBB1?;>99AGLH;9<437OMFN=35:d=EK@D7=:4?>99AGLH;9>4<7OMFN=3=3>DDAG692:5MCHL?7;1<JJCE0908;CAJJ939?2HHEC29>69AGLH;?7=0NNGA<9<4?GENF53546LLOTV?4;?<JJE^X1??>89AGJSS48;556LLOTV?578>3KIDYY2>3?;8FFIR\5;?245MCNWW843912HHCXZ317<a?GEH]]6:;7>19:@@KPR;9>437OM@UU>2:==EKF__0?07;CALQQ:4611IOB[[<5<;?GEH]]6>255MCNWW838?3KIDYY28>99AGJSS41437OM@UU>::==E]ZUBBKA9;C[SEVU63J>0O1>15:A?55823J6:=3;4C=31:0=D489596M315<6?F:6=7?0O1?9>49@8419=2I7=50:;B>2=;2<K5;596M321<6?F:597?0O1<=>49@8759=2I7>90:;B>11;3<K58=285L<35=1>E;:14>7N2=9?68G949=2I7?=0:;B>05;3<K599285L<21=1>E;;=4>7N2<5?78G9516<1H0>915:A?7=823J6853:4C=1=1>E;<94>7N2;1?78G9256<1H09=15:A?01823J6?93;4C=65:2=D4==1<3;4C=64:1=D4=4?7N2:>59@83833J6<295L<9<7?F:>6>1HM_\VIOc8GDTUQ@DYIJo4C@PQ]LHTME20OL\]YNWW=>EFZ[SDYYHm;BCQV\IR\[OL>6MJ3:AFE6=DMK90OHM6;BG@EWT^AG90OHJ<;BGN<>EBGYGDHH=4CDR26>EOMJAT@DMJNRG\P\VB:2IB?6MCK59@HN613JF@=5>9;BNH62623JF@>U64CMI1\4>7=2IGG4>:;BNHE41<KEAJ=I<8;BNHE4B3?2IGGL?K849@HND6=2IGGN?:;BNH@43<KEAOZn5LLJFU[AOQAMO>7NBDFY:8GIMAP82;56M@MLKWP@B6<2ID^HQHEOGQEQOHFVCEJB94CSGBP@B33JXNK<5K4:F?4;3<L5;;285K<03=1>B;9;4>7I2>3?78@9736<1O0<;15:F?53803M6:;7>15:F?52833M6:295K<3<7?A:46=1O090;;E>6:1=C4?4?7I28>59G8=833M622?5KI99GMK:7294=7IGA<1<4?AOI48:5;6JFN=32:2=CAG6:>394DHL?56803MCE0<:17:FJJ972601OEC2>6;2=3>BNF5;=245KIO>23?69?2NBB1?8>69GMK:607=0HD@318<5?AOI484<7IGA<32=3>BNF58:2:5KIO>16;1<L@D7>>08;EKM8729?2NBB1<:>69GMK:5>7=0HD@326<4?AOI4;25;6JFN=0::3=CAG692:5KIO>04;1<L@D7?<08;EKM8649?2NBB1=<>69GMK:4<730HD@33483:2=CAG689364DHL?7?69>2NBB1=16:FJJ929>2NBB1;16:FJJ909>2NBB1916:FJJ9>9>2NBB1719:FLQQ:7294<7IAZT=2=<>BH]]6:<364DNWW847902NDYY2>2?:8@JSS489546J@UU>20;><LF__0<;1a:FLQQ:6>3:546J@UU>22;g<LF__0<950?:8@JSS48=546J@UU>2<;><LF__0<717:FLQQ:6611OCXZ321<;?AIR\58:255KOTV?678?3ME^X1<<>99GKPR;:=437IAZT=06:==CG\^7>;07;EMVP940611OCXZ329<;?AIR\5822:5KOTV?6;><LF__0>>18:FLQQ:49720HB[[<20=<>BH]]68?364DNWW8629i2NDYY2<5;2=<>BH]]689374DNWW86<76>1OCXZ33?58@JSS4=4<7IAZT=7=3>BH]]6=2:5KOTV?3;1<LF__0508;EMVP9?9<2NYIJ94DRR7<D733ONYI<5H3:EM@7=N8;1B=?5F289JJLRT\H^N>6BF6:NLEACC>2FDOFKK7:NLCLEFD=1GYY=;;L>3:0=J48:596C310<6?H:6:7?0A1?<>49N8429=2G7=80:;L>22;3<E5;<285B<0:=1>K;904?7@2>>49N8769=2G7><0:;L>16;3<E588285B<36=1>K;:<4>7@2=6?78I9406<1F0?615:O?6<833D69285B<22=1>K;;84>7@2<2?78I9546<1F0>:17:O?70<76<1F0>;14:O?7;2<E5>586C35?68I909<2G7;3:4M=:=0>K;17>0A_KH5:OV\F_d3DkacXjrrkljf=JageyZh||inl4?KCS_FX@;6@@MDPDA4=H?2E[ABJJ589LTHICM5:556A_MNFF848>3FZFCIK32?;8KUKHLL68245@PLMGA92912E[ABJJ<4<:?JVJGMO7:3l4OQOL@@:029427B^BOEG?3;?<GYGDHH\JG058KURNE@PNS]\FMHVJR@UXFNIj7Bliurabpjt63Yi0\#<?123356YK<2Z7<3;4P=33:0=W48;596^313<6?U:6;7?0\1?;>49S8439=2Z7=;0:;Q>23;3<X5;3285_<0;=0>V;97?0\1<?>49S8779=2Z7>?0:;Q>17;3<X58?285_<37=1>V;:?4>7]2=7?78T94?6<1[0?714:R?6;3<X59;285_<23=1>V;;;4>7]2<3?78T9536<1[0>;15:R?73823Y68;3;4P=1;:0=W4:3586^33?78T9276<1[09?15:R?07823Y6??3;4P=67:0=W4=?596^347<4?U:3?3:596^346<7?U:36=1[080;;Q>5:1=W4>4?7]27>59S8<833YKYX:5_CHL?4;><XJCE0<>18:R@MK:69720\NGA<00=<>VDAG6:?364PBKM842902ZHEC2>5?:8TFOI48<546^LIO>23;><XJCE0<618:R@MK:617=0\NGA<0<;?UENF58;255_CHL?648?3YIBB1<=>99SGLH;::437]MFN=07:==WK@D7>807;QAJJ941611[OD@326<;?UENF583255_CHL?6<803YIBB1<18:R@MK:48720\NGA<23=<>VDAG68>364PBKM865902ZHEC2<4?:8TFOI4:?546^LIO>02;><XJCE0>918:R@MK:40720\NGA<2;=3>VDAG68255_CHL?058?3YIBB1:>>99SGLH;<;437]MFN=60:==WK@D78907;QAJJ922611[OD@347<b?UENF5><6=07;QAJJ9206>1[OD@34?58TFOI4<4<7]MFN=4=3>VDAG6<2:5_CHL?<;1<XJCE0407;QALQQ:7601[OB[[<02==>VDG\^7=<06;QALQQ:6:730\NAZT=30:<=WKF__0<:19:R@KPR;9<427]M@UU>22;?<XJE^X1?8>89SGJSS482556^LOTV?5<8?3YIDYY2>>89SGJSS4;:556^LOTV?648>3YIDYY2=2?;8TFIR\588245_CNWW872912ZHCXZ324<:?UEH]]69:374PBMVP940601[OB[[<3:==>VDG\^7>407;QALQQ:5601[OB[[<22==>VDG\^7?<06;QALQQ:4:730\NAZT=10:<=WKF__0>:19:R@KPR;;<427]M@UU>02;?<XJE^X1=8>89SGJSS4:2556^LOTV?7<8?3YIDYY2<>89SGJSS4=:556^LOTV?048>3YIDYY2;2?;8TFIR\5>8245_CNWW812912ZHCXZ344<:?UEH]]6?:3l4PBMVP92029427]M@UU>73;><XJE^X1:18:R@KPR;=720\NAZT=4=<>VDG\^7;364PBMVP9>902ZHCXZ39?c8TLHXJ\YBHUl4PHL\FPUIIDO?7]\JG79SWAIIM81Z=6\;;SQWE1=U[]H?7_][C`9QWQEFZ[SBB95]SUF7?WUSE01Y_YA_MNFF0>TT\Y=0^^Z[YQG2?V2<[5:596]311<6?V:697?0_1?=>49P8459=2Y7=90:;R>21;3<[5;=285\<05=1>U;914>7^2>9?68W979=2Y7>=0:;R>15;3<[589285\<31=1>U;:=4>7^2=5?78W9416<1X0?915:Q?6=823Z6953:4S=0=1>U;;94>7^2<1?78W9556<1X0>=15:Q?71823Z6893;4S=15:0=T4:=596]339<6?V:417>0_1=15:Q?05823Z6?=3;4S=61:0=T4=9596]345<6?V:3=7?0_1:9>49P8119=2Y7850:;R>7=;2<[5>596]351<6?V:297?0_1;=>49P8059=2Y7990:;R>61;3<[5?=285\<45=1>U;=14>7^2:9?68W939=2Y7:=0:;R>55;3<[5<92:5\<7194;3<[5<8295\<7<7?V:06=1X050;;R>::1=TKEX:=6]GRDE\A]RUIJ^TBJMj;RJQABYJAGUXEWK7;RIJTZVNF?1XCX\LE79PPDRR[11XYOZ]ABV7?V_IK=1_U]Kl;TQFVZPN[@HGI>5YCB;8RLCPW]S[I<j4XHNJJ]+_LK*;"<.\TT@#4+7'IZIBE85WOSAZ2>^T\ECIn6VPCNPF[LHAG?1ShoQFdg9[`mYWz`g]i}foo33?]bjWDkacXjrrklj46<PmgTAd``rWgqwlii;2Rxx>5Ver68e969=2k7==0:;`>25;3<i5;9285n<01=1>g;9=4>7l2>5?78e9716<1j0<915:c?5=823h6:53:4a=3=3>g;:90;285n<32=0>g;:7>0m1=14:c?0;2<i5?586o36?68e919<2k743:4a=;=0>d;87?0n1??>49a8479=2h7=?0:;c>27;3<j5;?285m<07=1>d;9?4>7o2>7?78f97?6<1i0<714:`?5;1<j58;6=0:;c>14;2<j58586l33?68f929<2h793:4b=4=0>d;?7>0n1614:`?=;d<jea;<=>?013a?gjl89:;<=>=b:`oo56789:;?o5mlj2345678=h0nae?0123453e3kf`<=>?0125f>dkc9:;<=>?7c9ahn6789:;<5l4bmi34567893i7obd0123456fj2hgg=>?0123fg=edb:;<=>?0b`8fim789:;<=jm;cnh456789:nn6lck1234567nk1i`f>?012355d<jea;<=>?003a?gjl89:;<=?=b:`oo56789::?o5mlj2345679=h0nae?0123443e3kf`<=>?0135f>dkc9:;<=>>7c9ahn6789:;=5l4bmi34567883i7obd0123457fj2hgg=>?0122fg=edb:;<=>?1b`8fim789:;<<jm;cnh456789;nn6lck1234566nk1i`f>?012365d<jea;<=>?033a?gjl89:;<=<=b:`oo56789:9?o5mlj234567:=h0nae?0123473e3kf`<=>?0105f>dkc9:;<=>=7c9ahn6789:;>5l4bmi345678;3i7obd0123454fj2hgg=>?0121fg=edb:;<=>?2b`8fim789:;<?jm;cnh4567898nn6lck1234565nk1i`f>?012375d<jea;<=>?023a?gjl89:;<===b:`oo56789:8?o5mlj234567;=h0nae?0123463e3kf`<=>?0115f>dkc9:;<=><7c9ahn6789:;?5l4bmi345678:3i7obd0123455fj2hgg=>?0120fg=edb:;<=>?3b`8fim789:;<>jm;cnh4567899nn6lck1234564nk1i`f>?012305d<jea;<=>?053a?gjl89:;<=:=b:`oo56789:??o5mlj234567<=h0nae?0123413e3kf`<=>?0165f>dkc9:;<=>;7c9ahn6789:;85l4bmi345678=3i7obd0123452fj2hgg=>?0127fg=edb:;<=>?4b`8fim789:;<9jm;cnh456789>nn6lck1234563nk1i`f>?012315d<jea;<=>?043a?gjl89:;<=;=b:`oo56789:>?o5mlj234567==h0nae?0123403e3kf`<=>?0175f>dkc9:;<=>:7c9ahn6789:;95l4bmi345678<3i7obd0123453fj2hgg=>?0126fg=edb:;<=>?5b`8fim789:;<8jm;cnh456789?nn6lck1234562nk1i`f>?012325d<jea;<=>?073a?gjl89:;<=8=b:`oo56789:=?o5mlj234567>=h0nae?0123433e3kf`<=>?0145f>dkc9:;<=>97c9ahn6789:;:5l4bmi345678?3i7obd0123450fj2hgg=>?0125fg=edb:;<=>?6b`8fim789:;<;jm;cnh456789<nn6lck1234561nk1i`f>?012335d<jea;<=>?063a?gjl89:;<=9=b:`oo56789:<?o5mlj234567?=h0nae?0123423e3kf`<=>?0155f>dkc9:;<=>87c9ahn6789:;;5l4bmi345678>3i7obd0123451fj2hgg=>?0124fg=edb:;<=>?7b`8fim789:;<:jm;cnh456789=nn6lck1234560nk1i`f>?0123<5d<jea;<=>?093a?gjl89:;<=6=b:`oo56789:3?o5mlj2345670=h0nae?01234=3e3kf`<=>?01:5f>dkc9:;<=>77c9ahn6789:;45l4bmi34567813i7obd012345>fj2hgg=>?012;fg=edb:;<=>?8b`8fim789:;<5jm;cnh4567892nn6lck123456?nk1i`f>?0123=5d<jea;<=>?083a?gjl89:;<=7=b:`oo56789:2?o5mlj2345671=h0nae?01234<3e3kf`<=>?01;5f>dkc9:;<=>67c9ahn6789:;55l4bmi34567803i7obd012345?fj2hgg=>?012:fg=edb:;<=>?9b`8fim789:;<4jm;cnh4567893nn6lck123456>nk1i`f>?0123e5d<jea;<=>?0`3a?gjl89:;<=o=b:`oo56789:j?o5mlj234567i=h0nae?01234d3e3kf`<=>?01c5f>dkc9:;<=>n7c9ahn6789:;m5l4bmi345678h3i7obd012345gfj2hgg=>?012bfg=edb:;<=>?ab`8fim789:;<ljm;cnh456789knn6lck123456fnk1i`f>?0123f5d<jea;<=>?0c3a?gjl89:;<=l=b:`oo56789:i?o5mlj234567j=h0nae?01234g3e3kf`<=>?01`5f>dkc9:;<=>m7c9ahn6789:;n5l4bmi345678k3i7obd012345dfj2hgg=>?012afg=edb:;<=>?bb`8fim789:;<ojm;cnh456789hnn6lck123456enk1i`f>?0123g5d<jea;<=>?0b3a?gjl89:;<=m=b:`oo56789:h?o5mlj234567k=h0nae?01234f3e3kf`<=>?01a5f>dkc9:;<=>l7c9ahn6789:;o5l4bmi345678j3i7obd012345efj2hgg=>?012`fg=edb:;<=>?cb`8fim789:;<njm;cnh456789inn6lck123456dnk1i`f>?0123`5d<jea;<=>?0e3a?gjl89:;<=j=b:`oo56789:o?o5mlj234567l=h0nae?01234a3e3kf`<=>?01f5f>dkc9:;<=>k7c9ahn6789:;h5l4bmi345678m3i7obd012345bfj2hgg=>?012gfg=edb:;<=>?db`8fim789:;<ijm;cnh456789nnn6lck123456cnk1i`f>?0123a5d<jea;<=>?0d3a?gjl89:;<=k=b:`oo56789:n?o5mlj234567m=h0nae?01234`3e3kf`<=>?01g5f>dkc9:;<=>j7c9ahn6789:;i5l4bmi345678l3i7obd012345cfj2hgg=>?012ffg=edb:;<=>?eb`8fim789:;<hjm;cnh456789onn6lck123456bnk1i`f>?0123b5d<jea;<=>?0g3a?gjl89:;<=h=b:`oo56789:m?o5mlj234567n=h0nae?01234c3e3kf`<=>?01d5f>dkc9:;<=>i7c9ahn6789:;j5l4bmi345678o3i7obd012345`fj2hgg=>?012efg=edb:;<=>?fb`8fim789:;<kjm;cnh456789lnn6lck123456ank1i`f>?012245d<jea;<=>?113a?gjl89:;<<>=b:`oo56789;;?o5mlj2345668=h0nae?0123553e3kf`<=>?0025f>dkc9:;<=??7c9ahn6789::<5l4bmi34567993i7obd0123446fj2hgg=>?0133fg=edb:;<=>>0b`8fim789:;==jm;cnh456788:nn6lck1234577nk1i`f>?012255d<jea;<=>?103a?gjl89:;<<?=b:`oo56789;:?o5mlj2345669=h0nae?012354353jo87nbdc:ffhdXd`dnS<m4ddnb}ZjnflU9o6jjl`{\hlhbW:i0hhbny^njj`Y3k2nn`lwPlhlf[05<lzz:>6hnbsk`[uowmmUjon{b139nqirXoldTz<?P0^zppa=j}e~jon{b_eqsf>krd}cjhiM`s026?hsk|`kohNa|,y`jtZkrd}khoxcPv3]3(~eXiV~r|h3?,b]b[roc|a79=!mPabaviZkhll7; nQncbwn[roc|a7=8!mPb^vzt`;7$jUiSzgkti?15)eXjpzj~Qcov?3(fYdmVe}i|fddq\wfju59&hSeo|_c{sevu:8%iT`lzjnb{>5)eXg|~T{dj{h<47(fYug|doSywe<2/gZstmVnx|9621-a\r`tegzcu0>#c^zgasodmokfeaw2sqcqpdh1dt897`{cthcg`Fit$qhb|Rczluc`gpkX~;U; vs7;oe`fpokl;1ec45`p^pfwl|b<2y7<3;4s=33:0=t48;596}313<6?v:6;7?01?;>49p8439=2y7=;0:;r>23;3<{5;3285|<0;=0>u;97?01<?>49p8779=2y7>?0:;r>17;3<{58?285|<37=1>u;:?4>7~2=7?78w94?6<1x0?714:q?6;3<{59;285|<23=1>u;;;4>7~2<3?78w9536<1x0>;15:q?73823z68;3;4s=1;:0=t4:3586}33?78w9276<1x09?15:q?07823z6??3;4s=67:0=t4=?596}347<6?v:3?7?01:7>49p81?9<2y783;4s=73:0=t4<;596}353<6?v:2;7?01;;>49p8039=2y79;0:;r>63;3<{5?3285|<4;=0>u;=7?018?>49p8379=2y7:?08;r>57?69=2y7:>0;;r>5:1=t4>4?7~27>59p8<833zig~o5|if23456788h0di?0123454e3zcl<=>?0120f>uno9:;<=>?4c9pmb6789:;<8l4she3456789<i7~gh01234560j2ybk=>?0123<g=tan:;<=>?08`8wla789:;<=om;rkd456789:in6}fg1234567kk1xej>?01234ad<{`m;<=>?01ga?vo`89:;<=>ib:qjc56789::<o5|if23456798h0di?0123444e3zcl<=>?0130f>uno9:;<=>>4c9pmb6789:;=8l4she3456788<i7~gh01234570j2ybk=>?0122<g=tan:;<=>?18`8wla789:;<<om;rkd456789;in6}fg1234566kk1xej>?01235ad<{`m;<=>?00ga?vo`89:;<=?ib:qjc56789:9<o5|if234567:8h0di?0123474e3zcl<=>?0100f>uno9:;<=>=4c9pmb6789:;>8l4she345678;<i7~gh01234540j2ybk=>?0121<g=tan:;<=>?28`8wla789:;<?om;rkd4567898in6}fg1234565kk1xej>?01236ad<{`m;<=>?03ga?vo`89:;<=<ib:qjc56789:8<o5|if234567;8h0di?0123464e3zcl<=>?0110f>uno9:;<=><4c9pmb6789:;?8l4she345678:<i7~gh01234550j2ybk=>?0120<g=tan:;<=>?38`8wla789:;<>om;rkd4567899in6}fg1234564kk1xej>?01237ad<{`m;<=>?02ga?vo`89:;<==ib:qjc56789:?<o5|if234567<8h0di?0123414e3zcl<=>?0160f>uno9:;<=>;4c9pmb6789:;88l4she345678=<i7~gh01234520j2ybk=>?0127<g=tan:;<=>?48`8wla789:;<9om;rkd456789>in6}fg1234563kk1xej>?01230ad<{`m;<=>?05ga?vo`89:;<=:ib:qjc56789:><o5|if234567=8h0di?0123404e3zcl<=>?0170f>uno9:;<=>:4c9pmb6789:;98l4she345678<<i7~gh01234530j2ybk=>?0126<g=tan:;<=>?58`8wla789:;<8om;rkd456789?in6}fg1234562kk1xej>?01231ad<{`m;<=>?04ga?vo`89:;<=;ib:qjc56789:=<o5|if234567>8h0di?0123434e3zcl<=>?0140f>uno9:;<=>94c9pmb6789:;:8l4she345678?<i7~gh01234500j2ybk=>?0125<g=tan:;<=>?68`8wla789:;<;om;rkd456789<in6}fg1234561kk1xej>?01232ad<{`m;<=>?07ga?vo`89:;<=8ib:qjc56789:<<o5|if234567?8h0di?0123424e3zcl<=>?0150f>uno9:;<=>84c9pmb6789:;;8l4she345678><i7~gh01234510j2ybk=>?0124<g=tan:;<=>?78`8wla789:;<:om;rkd456789=in6}fg1234560kk1xej>?01233ad<{`m;<=>?06ga?vo`89:;<=9ib:qjc56789:3<o5|if23456708h0di?01234=4e3zcl<=>?01:0f>uno9:;<=>74c9pmb6789:;48l4she3456781<i7~gh012345>0j2ybk=>?012;<g=tan:;<=>?88`8wla789:;<5om;rkd4567892in6}fg123456?kk1xej>?0123<ad<{`m;<=>?09ga?vo`89:;<=6ib:qjc56789:2<o5|if23456718h0di?01234<4e3zcl<=>?01;0f>uno9:;<=>64c9pmb6789:;58l4she3456780<i7~gh012345?0j2ybk=>?012:<g=tan:;<=>?98`8wla789:;<4om;rkd4567893in6}fg123456>kk1xej>?0123=ad<{`m;<=>?08ga?vo`89:;<=7ib:qjc56789:j<o5|if234567i8h0di?01234d4e3zcl<=>?01c0f>uno9:;<=>n4c9pmb6789:;m8l4she345678h<i7~gh012345g0j2ybk=>?012b<g=tan:;<=>?a8`8wla789:;<lom;rkd456789kin6}fg123456fkk1xej>?0123ead<{`m;<=>?0`ga?vo`89:;<=oib:qjc56789:i<o5|if234567j8h0di?01234g4e3zcl<=>?01`0f>uno9:;<=>m4c9pmb6789:;n8l4she345678k<i7~gh012345d0j2ybk=>?012a<g=tan:;<=>?b8`8wla789:;<oom;rkd456789hin6}fg123456ekk1xej>?0123fad<{`m;<=>?0cga?vo`89:;<=lib:qjc56789:h<o5|if234567k8h0di?01234f4e3zcl<=>?01a0f>uno9:;<=>l4c9pmb6789:;o8l4she345678j<i7~gh012345e0j2ybk=>?012`<g=tan:;<=>?c8`8wla789:;<nom;rkd456789iin6}fg123456dkk1xej>?0123gad<{`m;<=>?0bga?vo`89:;<=mib:qjc56789:o<o5|if234567l8h0di?01234a4e3zcl<=>?01f0f>uno9:;<=>k4c9pmb6789:;h8l4she345678m<i7~gh012345b0j2ybk=>?012g<g=tan:;<=>?d8`8wla789:;<iom;rkd456789nin6}fg123456ckk1xej>?0123`ad<{`m;<=>?0ega?vo`89:;<=jib:qjc56789:n<o5|if234567m8h0di?01234`4e3zcl<=>?01g0f>uno9:;<=>j4c9pmb6789:;i8l4she345678l<i7~gh012345c0j2ybk=>?012f<g=tan:;<=>?e8`8wla789:;<hom;rkd456789oin6}fg123456bkk1xej>?0123aad<{`m;<=>?0dga?vo`89:;<=kib:qjc56789:m<o5|if234567n8h0di?01234c4e3zcl<=>?01d0f>uno9:;<=>i4c9pmb6789:;j8l4she345678o<i7~gh012345`0j2ybk=>?012e<g=tan:;<=>?f8`8wla789:;<kom;rkd456789lin6}fg123456akk1xej>?0123bad<{`m;<=>?0gga?vo`89:;<=hib:qjc56789;;<o5|if23456688h0di?0123554e3zcl<=>?0020f>uno9:;<=??4c9pmb6789::<8l4she3456799<i7~gh01234460j2ybk=>?0133<g=tan:;<=>>08`8wla789:;==om;rkd456788:in6}fg1234577kk1xej>?01224ad<{`m;<=>?11ga?vo`89:;<<>ib:qjc56789;:<o5|if23456698h0di?0123544e3zcl<=>?0030f>uno9:;<=?>4c9pmb6789::=8l4she3456798<i7~gh01234470j2ybk=>?0132<g=tan:;<=>>18`8wla789:;=<om;rkd456788;in6}fg1234576kk1xej>?01225ad<{`m;<=>?10ga?vo`89:;<<?ib:qjc56789;9<o5|if234566:8h0di?0123574e3zcl<=>?0000f>uno9:;<=?=4c9pmb6789::>8l4she345679;<i7~gh01234440j2ybk=>?0131<g=tan:;<=>>28`8wla789:;=?om;rkd4567888in6}fg1234575kk1xej>?01226ad<{`m;<=>?13ga?vo`89:;<<<ib:qjc56789;8<o5|if234566;8h0di?0123564e3zcl<=>?0010f>uno9:;<=?<4c9pmb6789::?8l4she345679:<i7~gh01234450j2ybk=>?0130<g=tan:;<=>>38`8wla789:;=>om;rkd4567889in6}fg1234574kk1xej>?01227ad<{`m;<=>?12ga?vo`89:;<<=ib:qjc56789;?<o5|if234566<8h0di?0123514e3zcl<=>?0060f>uno9:;<=?;4c9pmb6789::88l4she345679=<i7~gh01234420j2ybk=>?0137<g=tan:;<=>>48`8wla789:;=9om;rkd456788>in6}fg1234573kk1xej>?01220ad<{`m;<=>?15ga?vo`89:;<<:ib:qjc56789;><o5|if234566=8h0di?0123504e3zcl<=>?0070f>uno9:;<=?:4c9pmb6789::98l4she345679<<i7~gh01234430j2ybk=>?0136<g=tan:;<=>>58`8wla789:;=8om;rkd456788?in6}fg1234572kk1xej>?01221ad<{`m;<=>?14ga?vo`89:;<<;ib:qjc56789;=<o5|if234566>8h0di?0123534e3zcl<=>?0040f>uno9:;<=?94c9pmb6789:::8l4she345679?<i7~gh01234400j2ybk=>?0135<g=tan:;<=>>68`8wla789:;=;om;rkd456788<in6}fg1234571kk1xej>?01222ad<{`m;<=>?17ga?vo`89:;<<8ib:qjc56789;<<o5|if234566?8h0di?0123524e3zcl<=>?0050f>uno9:;<=?84c9pmb6789::;8l4she345679><i7~gh01234410j2ybk=>?0134<g=tan:;<=>>78`8wla789:;=:om;rkd456788=in6}fg1234570kk1xej>?01223ad<{`m;<=>?16ga?vo`89:;<<9ib:qjc56789;3<o5|if23456608h0di?01235=4e3zcl<=>?00:0f>uno9:;<=?74c9pmb6789::48l4she3456791<i7~gh012344>0j2ybk=>?013;<g=tan:;<=>>88`8wla789:;=5om;rkd4567882in6}fg123457?kk1xej>?0122<ad<{`m;<=>?19ga?vo`89:;<<6ib:qjc56789;2<o5|if23456618h0di?01235<4e3zcl<=>?00;0f>uno9:;<=?64c9pmb6789::58l4she3456790<i7~gh012344?0j2ybk=>?013:<g=tan:;<=>>98`8wla789:;=4om;rkd4567883in6}fg123457>kk1xej>?0122=ad<{`m;<=>?18ga?vo`89:;<<7ib:qjc56789;j<o5|if234566i8h0di?01235d4e3zcl<=>?00c0f>uno9:;<=?n4c9pmb6789::m8l4she345679h<i7~gh012344g0j2ybk=>?013b<g=tan:;<=>>a8`8wla789:;=lom;rkd456788kin6}fg123457fkk1xej>?0122ead<{`m;<=>?1`ga?vo`89:;<<oib:qjc56789;i<o5|if234566j8h0di?01235g4e3zcl<=>?00`0f>uno9:;<=?m4c9pmb6789::n8l4she345679k<i7~gh012344d0j2ybk=>?013a<g=tan:;<=>>b8`8wla789:;=oom;rkd456788hin6}fg123457ekk1xej>?0122fad<{`m;<=>?1cga?vo`89:;<<lib:qjc56789;h<o5|if234566k8h0di?01235f4e3zcl<=>?00a0f>uno9:;<=?l4c9pmb6789::o8l4she345679j<i7~gh012344e0j2ybk=>?013`<g=tan:;<=>>c8`8wla789:;=nom;rkd456788iin6}fg123457dkk1xej>?0122gad<{`m;<=>?1bga?vo`89:;<<mib:qjc56789;o<o5|if234566l8h0di?01235a4e3zcl<=>?00f0f>uno9:;<=?k4c9pmb6789::h8l4she345679m<i7~gh012344b0j2ybk=>?013g<g=tan:;<=>>d8`8wla789:;=iom;rkd456788nin6}fg123457ckk1xej>?0122`ad<{`m;<=>?1ega?vo`89:;<<jib:qjc56789;n<o5|if234566m8h0di?01235`4e3zcl<=>?00g0f>uno9:;<=?j4c9pmb6789::i8l4she345679l<i7~gh012344c0j2ybk=>?013f<g=tan:;<=>>e8`8wla789:;=hom;rkd456788oin6}fg123457bkk1xej>?0122aad<{`m;<=>?1dga?vo`89:;<<kib:qjc56789;m<o5|if234566n8h0di?01235c4e3zcl<=>?00d0f>uno9:;<=?i4c9pmb6789::j8l4she345679o<i7~gh012344`0j2ybk=>?013e<g=tan:;<=>>f8`8wla789:;=kom;rkd456788lin6}fg123457akk1xej>?0122bad<{`m;<=>?1gga?vo`89:;<<hib:qjc567898;<o5|if23456588h0di?0123654e3zcl<=>?0320f>uno9:;<=<?4c9pmb6789:9<8l4she34567:9<i7~gh01234760j2ybk=>?0103<g=tan:;<=>=08`8wla789:;>=om;rkd45678;:in6}fg1234547kk1xej>?01214ad<{`m;<=>?21ga?vo`89:;<?>ib:qjc567898:<o5|if23456598h0di?0123644e3zcl<=>?0330f>uno9:;<=<>4c9pmb6789:9=8l4she34567:8<i7~gh01234770j2ybk=>?0102<g=tan:;<=>=18`8wla789:;><om;rkd45678;;in6}fg1234546kk1xej>?01215ad<{`m;<=>?20ga?vo`89:;<??ib:qjc5678989<o5|if234565:8h0di?0123674e3zcl<=>?0300f>uno9:;<=<=4c9pmb6789:9>8l4she34567:;<i7~gh01234740j2ybk=>?0101<g=tan:;<=>=28`8wla789:;>?om;rkd45678;8in6}fg1234545kk1xej>?01216ad<{`m;<=>?23ga?vo`89:;<?<ib:qjc5678988<o5|if234565;8h0di?0123664e3zcl<=>?0310f>uno9:;<=<<4c9pmb6789:9?8l4she34567::<i7~gh01234750j2ybk=>?0100<g=tan:;<=>=38`8wla789:;>>om;rkd45678;9in6}fg1234544kk1xej>?01217ad<{`m;<=>?22ga?vo`89:;<?=ib:qjc567898?<o5|if234565<8h0di?0123614e3zcl<=>?0360f>uno9:;<=<;4c9pmb6789:988l4she34567:=<i7~gh01234720j2ybk=>?0107<g=tan:;<=>=48`8wla789:;>9om;rkd45678;>in6}fg1234543kk1xej>?01210ad<{`m;<=>?25ga?vo`89:;<?:ib:qjc567898><o5|if234565=8h0di?0123604e3zcl<=>?0370f>uno9:;<=<:4c9pmb6789:998l4she34567:<<i7~gh01234730j2ybk=>?0106<g=tan:;<=>=58`8wla789:;>8om;rkd45678;?in6}fg1234542kk1xej>?01211ad<{`m;<=>?24ga?vo`89:;<?;ib:qjc567898=<o5|if234565>8h0di?0123634e3zcl<=>?0340f>uno9:;<=<94c9pmb6789:9:8l4she34567:?<i7~gh01234700j2ybk=>?0105<g=tan:;<=>=68`8wla789:;>;om;rkd45678;<in6}fg1234541kk1xej>?01212ad<{`m;<=>?27ga?vo`89:;<?8ib:qjc567898<<o5|if234565?8h0di?0123624e3zcl<=>?0350f>uno9:;<=<84c9pmb6789:9;8l4she34567:><i7~gh01234710j2ybk=>?0104<g=tan:;<=>=78`8wla789:;>:om;rkd45678;=in6}fg1234540kk1xej>?01213ad<{`m;<=>?26ga?vo`89:;<?9ib:qjc5678983<o5|if23456508h0di?01236=4e3zcl<=>?03:0f>uno9:;<=<74c9pmb6789:948l4she34567:1<i7~gh012347>0j2ybk=>?010;<g=tan:;<=>=88`8wla789:;>5om;rkd45678;2in6}fg123454?kk1xej>?0121<ad<{`m;<=>?29ga?vo`89:;<?6ib:qjc5678982<o5|if23456518h0di?01236<4e3zcl<=>?03;0f>uno9:;<=<64c9pmb6789:958l4she34567:0<i7~gh012347?0j2ybk=>?010:<g=tan:;<=>=98`8wla789:;>4om;rkd45678;3in6}fg123454>kk1xej>?0121=ad<{`m;<=>?28ga?vo`89:;<?7ib:qjc567898j<o5|if234565i8h0di?01236d4e3zcl<=>?03c0f>uno9:;<=<n4c9pmb6789:9m8l4she34567:h<i7~gh012347g0j2ybk=>?010b<g=tan:;<=>=a8`8wla789:;>lom;rkd45678;kin6}fg123454fkk1xej>?0121ead<{`m;<=>?2`ga?vo`89:;<?oib:qjc567898i<o5|if234565j8h0di?01236g4e3zcl<=>?03`0f>uno9:;<=<m4c9pmb6789:9n8l4she34567:k<i7~gh012347d0j2ybk=>?010a<g=tan:;<=>=b8`8wla789:;>oom;rkd45678;hin6}fg123454ekk1xej>?0121fad<{`m;<=>?2cga?vo`89:;<?lib:qjc567898h<o5|if234565k8h0di?01236f4e3zcl<=>?03a0f>uno9:;<=<l4c9pmb6789:9o8l4she34567:j<i7~gh012347e0j2ybk=>?010`<g=tan:;<=>=c8`8wla789:;>nom;rkd45678;iin6}fg123454dkk1xej>?0121gad<{`m;<=>?2bga?vo`89:;<?mib:qjc567898o<o5|if234565l8h0di?01236a4e3zcl<=>?03f0f>uno9:;<=<k4c9pmb6789:9h8l4she34567:m<i7~gh012347b0j2ybk=>?010g<g=tan:;<=>=d8`8wla789:;>iom;rkd45678;nin6}fg123454ckk1xej>?0121`ad<{`m;<=>?2ega?vo`89:;<?jib:qjc567898n<o5|if234565m8h0di?01236`4e3zcl<=>?03g0f>uno9:;<=<j4c9pmb6789:9i8l4she34567:l<i7~gh012347c0j2ybk=>?010f<g=tan:;<=>=e8`8wla789:;>hom;rkd45678;oin6}fg123454bkk1xej>?0121aad<{`m;<=>?2dga?vo`89:;<?kib:qjc567898m<o5|if234565n8h0di?01236c4e3zcl<=>?03d0f>uno9:;<=<i4c9pmb6789:9j8l4she34567:o<i7~gh012347`0j2ybk=>?010e<g=tan:;<=>=f8`8wla789:;>kom;rkd45678;lin6}fg123454akk1xej>?0121bad<{`m;<=>?2gga?vo`89:;<?hib:qjc567899;<o5|if23456488h0di?0123754e3zcl<=>?0220f>uno9:;<==?4c9pmb6789:8<8l4she34567;9<i7~gh01234660j2ybk=>?0113<g=tan:;<=><08`8wla789:;?=om;rkd45678::in6}fg1234557kk1xej>?01204ad<{`m;<=>?31ga?vo`89:;<>>ib:qjc567899:<o5|if23456498h0di?0123744e3zcl<=>?0230f>uno9:;<==>4c9pmb6789:8=8l4she34567;8<i7~gh01234670j2ybk=>?0112<g=tan:;<=><18`8wla789:;?<om;rkd45678:;in6}fg1234556kk1xej>?01205ad<{`m;<=>?30ga?vo`89:;<>?ib:qjc5678999<o5|if234564:8h0di?0123774e3zcl<=>?0200f>uno9:;<===4c9pmb6789:8>8l4she34567;;<i7~gh01234640j2ybk=>?0111<g=tan:;<=><28`8wla789:;??om;rkd45678:8in6}fg1234555kk1xej>?01206ad<{`m;<=>?33ga?vo`89:;<><ib:qjc5678998<o5|if234564;8h0di?0123764e3zcl<=>?0210f>uno9:;<==<4c9pmb6789:8?8l4she34567;:<i7~gh01234650j2ybk=>?0110<g=tan:;<=><38`8wla789:;?>om;rkd45678:9in6}fg1234554kk1xej>?01207ad<{`m;<=>?32ga?vo`89:;<>=ib:qjc567899?<o5|if234564<8h0di?0123714e3zcl<=>?0260f>uno9:;<==;4c9pmb6789:888l4she34567;=<i7~gh01234620j2ybk=>?0117<g=tan:;<=><48`8wla789:;?9om;rkd45678:>in6}fg1234553kk1xej>?01200ad<{`m;<=>?35ga?vo`89:;<>:ib:qjc567899><o5|if234564=8h0di?0123704e3zcl<=>?0270f>uno9:;<==:4c9pmb6789:898l4she34567;<<i7~gh01234630j2ybk=>?0116<g=tan:;<=><58`8wla789:;?8om;rkd45678:?in6}fg1234552kk1xej>?01201ad<{`m;<=>?34ga?vo`89:;<>;ib:qjc567899=<o5|if234564>8h0di?0123734e3zcl<=>?0240f>uno9:;<==94c9pmb6789:8:8l4she34567;?<i7~gh01234600j2ybk=>?0115<g=tan:;<=><68`8wla789:;?;om;rkd45678:<in6}fg1234551kk1xej>?01202ad<{`m;<=>?37ga?vo`89:;<>8ib:qjc567899<<o5|if234564?8h0di?0123724e3zcl<=>?0250f>uno9:;<==84c9pmb6789:8;8l4she34567;><i7~gh01234610j2ybk=>?0114<g=tan:;<=><78`8wla789:;?:om;rkd45678:=in6}fg1234550kk1xej>?01203ad<{`m;<=>?36ga?vo`89:;<>9i4:t2*5743qhb|Rolcto\r7Y7Wqy=>5wbhr\ipjsiji~aRx=_10e?}dnxVg~`yolcto\r7Y7$)Rb`d`w BMQA%Abflxjxb|/15,452=j`zTaxb{abaviZp5W9Usy?;;y`jtZvnxlU}>R>Pxrv\542<pkc{S}ge^t1[5Y{}U9=<5Ì˼¿ÅºÒÊöζ´Üµ½µ7:ÌÌÏʹ»Äm1ÚβÞþָÆÇ¿º¾m2Ûɳݱ×ĹÊĹÃÂuIJ{=>?m;AB{7fg=N3<1=v]k1;04g?7?:3;88i6i4;3:23d|f;=j6<5a26`92>"5?109;95rSbd962e=9181=>:k8g695<01l2Y:894<ce83>453l1l?6<796g9Pgc<4km0;6<=;d9d7>4?1?91X=9:519293<7=9:>o4k:518445>Udn3;3<7961;300a>a<3;2::?4d2ab>5<628qXh<4=7b82<7<6;=n3j94>974a?sR5>j0;6<4>:34:Vb62;=h6<6=:017`=`3283=:o5+2619510<^;=26?uz17d95>s6?90;7p*>b582`>d4kh0;6;j51;4gM4092.9;=4<c`9Y3=<4s8:1=<4>c;'621=?0>0(ol53ba8 a6=;jh0(?8n:09'63?=:>n0e?6m:18'64>=:1k0b??8:198m7>?290/><6529c8j7702810e?68:18'64>=:1k0b??8:398m7>1290/><6529c8j7702:10e?6::18'64>=:1k0b??8:598m7>3290/><6529c8j7702<10e?6<:18'64>=:1k0b??8:798m7>5290/><6529c8j7702>10e?6>:18'64>=:1k0b??8:998m7>7290/><6529c8j7702010e?9i:18'64>=:1k0b??8:`98m7?4290/><6529c8j7702k10e?7=:18'64>=:1k0b??8:b98m7?6290/><6529c8j7702m10e?7?:18'64>=:1k0b??8:d98m7>a290/><6529c8j7702o10e?6j:18'64>=:1k0b??8:028?l4?l3:1(??7:3:b?k46?3;:76g=8b83>!460383m6`=16826>=n:131<7*=1981<d=i:8=1=>54i35f>5<#:821>5o4n334>42<3`8j=7>5$33;>7g73g8:;7>4;h0:a?6=,;;36?o?;o023?7<3`82h7>5$33;>7g73g8:;7<4;h0:g?6=,;;36?o?;o023?5<3`82n7>5$33;>7g73g8:;7:4;h0:e?6=,;;36?o?;o023?3<3`8257>5$33;>7g73g8:;784;h0:<?6=,;;36?o?;o023?1<3`82;7>5$33;>7g73g8:;764;h0:2?6=,;;36?o?;o023??<3`8297>5$33;>7g73g8:;7o4;h0b=?6=,;;36?o?;o023?d<3`8j47>5$33;>7g73g8:;7m4;h0b3?6=,;;36?o?;o023?b<3`8j:7>5$33;>7g73g8:;7k4;h0b1?6=,;;36?o?;o023?`<3`8j87>5$33;>7g73g8:;7??;:k1e6<72-8:47<n0:l152<6921b>l<50;&15=<5i91e><951398m7?a290/><652`28j77028907d<64;29 77?2;k;7c<>7;37?>o4k90;66g<bg83>>o3980;66a;0b83>!4603>;n6`=1683?>i38h0;6)<>8;63f>h59>0:76a;0883>!4603>;n6`=1681?>i3810;6)<>8;63f>h59>0876a;0783>!4603>;n6`=1687?>i38<0;6)<>8;63f>h59>0>76a;0583>!4603>;n6`=1685?>i38:0;6)<>8;63f>h59>0<76a;0383>!4603>;n6`=168;?>i3880;6)<>8;63f>h59>0276a;0183>!4603>;n6`=168b?>i4no0;6)<>8;63f>h59>0i76a<fd83>!4603>;n6`=168`?>i4nm0;6)<>8;63f>h59>0o76a<fc83>!4603>;n6`=168f?>i4nh0;6)<>8;63f>h59>0m76a<f883>!4603>;n6`=16824>=h;o21<7*=19874g=i:8=1=<54o2d4>5<#:8218=l4n334>44<3f9m:7>5$33;>16e3g8:;7?<;:m0b0<72-8:47:?b:l152<6<21d?k:50;&15=<38k1e><951498k6`4290/><6541`8j77028<07b=i2;29 77?2=:i7c<>7;34?>i4n90;6)<>8;63f>h59>0:465`3dd94?"5910?<o5a20595<=<g:on6=4+20:905d<f;;<6<o4;n1f`?6=,;;369>m;o023?7e32e8in4?:%02<?27j2d9=:4>c:9l7`d=83.9=54;0c9m641=9m10c>kn:18'64>=<9h0b??8:0g8?j5b13:1(??7:52a?k46?3;m76a<e983>!4603>;n6`=16814>=h;l=1<7*=19874g=i:8=1><54o2g6>5<#:8218=l4n334>74<3f9n87>5$33;>16e3g8:;7<<;:m0a6<72-8:47:?b:l152<5<21d?h<50;&15=<38k1e><952498k6c6290/><6541`8j7702;<07b=j0;29 77?2=:i7c<>7;04?>i4lo0;6)<>8;63f>h59>09465`3eg94?"5910?<o5a20596<=<g:no6=4+20:905d<f;;<6?o4;n1gg?6=,;;369>m;o023?4e32e8hl4?:%02<?27j2d9=:4=c:9l7a?=83.9=54;0c9m641=:m10c>j7:18'64>=<9h0b??8:3g8?j5c?3:1(??7:52a?k46?38m76a<d783>!4603>;n6`=16804>=h;m?1<7*=19874g=i:8=1?<54o2f7>5<#:8218=l4n334>64<3f9o?7>5$33;>16e3g8:;7=<;:m0`7<72-8:47:?b:l152<4<21d?i?50;&15=<38k1e><953498k177290/><6541`8j7702:<07b:?f;29 77?2=:i7c<>7;14?>i38l0;6)<>8;63f>h59>08465`41f94?"5910?<o5a20597<=<g=:<6=4+20:905d<f;;<6>o4;n1eg?6=,;;369>m;o023?5e32e8j<4?:%02<?27j2d9=:4<c:9l7`0=83.9=54;0c9m641=;m10c>jm:18'64>=<9h0b??8:2g8?j5c83:1(??7:52a?k46?39m76l=a`83>3`=98>1>h8tH352?!4083=296T88;1xa?`=9k0v(:7n:6;:?!1>j3=246g<0;29 77?2;l0b??8:198m7b=83.9=54=f:l152<632c9o7>5$33;>7`<f;;<6?54i3`94?"59109j6`=1680?>o513:1(??7:3d8j7702=10e?650;&15=<5n2d9=:4:;:k13?6=,;;36?h4n334>3=<a;<1<7*=1981b>h59>0<76g=5;29 77?2;l0b??8:998m72=83.9=54=f:l152<>32c9?7>5$33;>7`<f;;<6l54i2:94?"59109j6`=168a?>o4?3:1(??7:3d8j7702j10e>850;&15=<5n2d9=:4k;:k01?6=,;;36?h4n334>`=<a:>1<7*=1981b>h59>0m76g<3;29 77?2;l0b??8:028?l55290/><652g9m641=9810e>?50;&15=<5n2d9=:4>2:9j6`<72-8:47<i;o023?7432c9>7>5$33;>7`<f;;<6<:4;h6:>5<#:821855a20594>=n<?0;6)<>8;6;?k46?3;07d:::18'64>=<11e><952:9j01<72-8:47:7;o023?5<3`>96=4+20:90==i:8=1865f4083>!4603>37c<>7;78?l27290/><65499m641=>21b?k4?:%02<?2?3g8:;794;h1f>5<#:821855a2059<>=n;m0;6)<>8;6;?k46?3307d=l:18'64>=<11e><95a:9j14<72-8:47:7;o023?d<3`?;6=4+20:90==i:8=1o65f4g83>!4603>37c<>7;f8?l2b290/><65499m641=m21b8i4?:%02<?2?3g8:;7h4;h6`>5<#:821855a205955=<a=h1<7*=1987<>h59>0:=65f4`83>!4603>37c<>7;31?>o3?3:1(??7:5:8j77028907d=m:18'64>=<11e><951598mgb=831bn?4?::k12=<722c<57>5;h05a?6=3f8=?7>5$33;>7053g8:;7>4;n055?6=,;;36?8=;o023?7<3f8=<7>5$33;>7053g8:;7<4;n06b?6=,;;36?8=;o023?5<3f8>h7>5$33;>7053g8:;7:4;n06g?6=,;;36?8=;o023?3<3f8>n7>5$33;>7053g8:;784;n06e?6=,;;36?8=;o023?1<3f8>57>5$33;>7053g8:;764;n06<?6=,;;36?8=;o023??<3f8>;7>5$33;>7053g8:;7o4;n062?6=,;;36?8=;o023?d<3f8>97>5$33;>7053g8:;7m4;n060?6=,;;36?8=;o023?b<3f8>>7>5$33;>7053g8:;7k4;n065?6=,;;36?8=;o023?`<3f8><7>5$33;>7053g8:;7??;:m10c<72-8:47<92:l152<6921d>9k50;&15=<5>;1e><951398k72c290/><652708j77028907b<;c;29 77?2;<97c<>7;37?>i5<k0;6)<>8;056>h59>0:965`25c94?"59109:?5a205953=<g;>26=4+20:9634<f;;<6<94;n073?6=,;;36?8=;o023?7?32e98;4?:%02<?41:2d9=:4>9:9l613=83.9=54=639m641=9h10c?:;:18'64>=:?80b??8:0`8?j43;3:1(??7:341?k46?3;h76a=4383>!46038=>6`=1682`>=h:=;1<7*=198127=i:8=1=h54o363>5<#:821>;<4n334>4`<3f88j7>5$33;>7053g8:;7<?;:m17`<72-8:47<92:l152<5921d>>m50;&15=<5>;1e><952398k75e290/><652708j7702;907b<<a;29 77?2;<97c<>7;07?>i5;00;6)<>8;056>h59>09965`22:94?"59109:?5a205963=<g;9<6=4+20:9634<f;;<6?94;n002?6=,;;36?8=;o023?4?32e9?84?:%02<?41:2d9=:4=9:9l662=83.9=54=639m641=:h10c?=<:18'64>=:?80b??8:3`8?j4493:1(??7:341?k46?38h76a=3183>!46038=>6`=1681`>=h:;l1<7*=198127=i:8=1>h54o30f>5<#:821>;<4n334>7`<3f89h7>5$33;>7053g8:;7=?;:m16f<72-8:47<92:l152<4921d>?l50;&15=<5>;1e><953398k74f290/><652708j7702:907b<=9;29 77?2;<97c<>7;17?>i5:10;6)<>8;056>h59>08965`27594?"59109:?5a205973=<g;<=6=4+20:9634<f;;<6>94;n051?6=,;;36?8=;o023?5?32e9:94?:%02<?41:2d9=:4<9:9l60c=83.9=54=639m641=;h10c?;<:18'64>=:?80b??8:2`8?j4303:1(??7:341?k46?39h76a=3e83>!46038=>6`=1680`>=h::81<7*=198127=i:8=1?h54o304>5<#:821>;<4n334>6`<3k8jn7>51;294~"5?909;?5G2648L7163f;3=7>5;|`1ef<7280;6=u+262956c<@;==7E<81:m27a<722wi>lj50;36e?6=8r.9;=4>3c9K620<@;=:7W97:cy2a?g=910::7956;36>41=03?1=94r$05e>6eb3g8;6=5a2083?k5>291e?l4?;ocg>5=#il0:;95ad383?k74i3:0b<8k:19m52c=82d9=44?;%011?41n2di=7>4ic494?=n:8l1<75f23294?=nj>0;66g=2083>>oe13:17dl::188kg6=831b>?=50;9j674=831bni4?::k15`<722ci47>5;h`7>5<<ahi1<75fb`83>>oe;3:17boi:188m77c2900e?<;:188m12=83.9=54;3:l152<732c?>7>5$33;>15<f;;<6<54i5394?"5910??6`=1681?>o383:1(??7:518j7702:10e>h50;&15=<3;2d9=:4;;:k0a?6=,;;369=4n334>0=<a:n1<7*=19877>h59>0=76g<c;29 77?2=90b??8:698m07=83.9=54;3:l152<?32c><7>5$33;>15<f;;<6454i5d94?"5910??6`=168b?>o3m3:1(??7:518j7702k10e9j50;&15=<3;2d9=:4l;:k7g?6=,;;369=4n334>a=<a=h1<7*=19877>h59>0n76g;a;29 77?2=90b??8:g98m11=83.9=54;3:l152<6821b?o4?:%02<?243g8:;7?>;:m5a?6=,;;36;j4n334>5=<g?i1<7*=1985`>h59>0:76a9b;29 77?2?n0b??8:398k3g=83.9=549d:l152<432e=57>5$33;>3b<f;;<6954o7:94?"5910=h6`=1686?>i1?3:1(??7:7f8j7702?10c;850;&15=<1l2d9=:48;:m43?6=,;;36;j4n334>==<g><1<7*=1985`>h59>0276a85;29 77?2?n0b??8:`98k22=83.9=549d:l152<e32e<?7>5$33;>3b<f;;<6n54o6094?"5910=h6`=168g?>i093:1(??7:7f8j7702l10c:>50;&15=<1l2d9=:4i;:m5b?6=,;;36;j4n334>46<3f<>6=4+20:92a=i:8=1=<54i0fe>5<#:821=ik4n334>5=<a8no6=4+20:95ac<f;;<6<54i0f`>5<#:821=ik4n334>7=<a8ni6=4+20:95ac<f;;<6>54i0fb>5<#:821=ik4n334>1=<a8n26=4+20:95ac<f;;<6854i0f;>5<#:821=ik4n334>3=<a8n<6=4+20:95ac<f;;<6:54i0f6>5<#:821=ik4n334>==<a8n?6=4+20:95ac<f;;<6454i0f0>5<#:821=ik4n334>d=<a8n96=4+20:95ac<f;;<6o54i0f2>5<#:821=ik4n334>f=<a8n;6=4+20:95ac<f;;<6i54i0ae>5<#:821=ik4n334>`=<a8in6=4+20:95ac<f;;<6k54i0ag>5<#:821=ik4n334>46<3`;ho7>5$33;>4bb3g8:;7?>;:k2gd<72-8:47?ke:l152<6:21b=n750;&15=<6ll1e><951298m4e?290/><651eg8j77028>07d?l7;29 77?28nn7c<>7;36?>o6k?0;6)<>8;3ga>h59>0::65f1b794?"5910:hh5a205952=<a8i?6=4+20:95ac<f;;<6<64;h3`7?6=,;;36<jj;o023?7>32c:o?4?:%02<?7cm2d9=:4>a:9j5f7=83.9=54>dd9m641=9k10e<li:18'64>=9mo0b??8:0a8?l7em3:1(??7:0ff?k46?3;o76g>be83>!4603;oi6`=1682a>=n9ki1<7*=1982``=i:8=1=k54i0`a>5<#:821=ik4n334>76<3`;im7>5$33;>4bb3g8:;7<>;:k2f<<72-8:47?ke:l152<5:21b=o650;&15=<6ll1e><952298m4d0290/><651eg8j7702;>07d?m6;29 77?28nn7c<>7;06?>o6m<0;6)<>8;3ga>h59>09:65f1d694?"5910:hh5a205962=<a8o86=4+20:95ac<f;;<6?64;h3f6?6=,;;36<jj;o023?4>32c:i<4?:%02<?7cm2d9=:4=a:9j5`6=83.9=54>dd9m641=:k10e<j9:18'64>=9mo0b??8:3a8?l7dj3:1(??7:0ff?k46?38o76g>c183>!4603;oi6`=1681a>=n9k?1<7*=1982``=i:8=1>k54i`794?"5910j86`=1683?>of;3:1(??7:`68j7702810el<50;&15=<f<2d9=:4=;:kb5?6=,;;36l:4n334>6=<ah:1<7*=198b0>h59>0?76g6f;29 77?2h>0b??8:498m<c=83.9=54n4:l152<132c2h7>5$33;>d2<f;;<6:54i8`94?"5910j86`=168;?>o>i3:1(??7:`68j7702010e4750;&15=<f<2d9=:4n;:k:<?6=,;;36l:4n334>g=<a0=1<7*=198b0>h59>0h76g66;29 77?2h>0b??8:e98m<3=83.9=54n4:l152<b32c287>5$33;>d2<f;;<6k54i8194?"5910j86`=16824>=n1;0;6)<>8;c7?k46?3;:76g60;29 77?2h>0b??8:008?l>a290/><65a59m641=9:10e5k50;&15=<f<2d9=:4>4:9j<a<72-8:47o;;o023?7232c3o7>5$33;>d2<f;;<6<84;h:a>5<#:821m95a205952=<a1k1<7*=198b0>h59>0:465f8883>!4603k?7c<>7;3:?>o?03:1(??7:`68j77028k07d68:18'64>=i=1e><951c98m=3=83.9=54n4:l152<6k21b494?:%02<?g33g8:;7?k;:k;7?6=,;;36l:4n334>4c<3`296=4+20:9e1=i:8=1=k54i9394?"5910j86`=16814>=n090;6)<>8;c7?k46?38:76g8f;29 77?2h>0b??8:308?l1b290/><65a59m641=::10e:j50;&15=<f<2d9=:4=4:9j3f<72-8:47o;;o023?4232cjn7>5$33;>d2<f;;<6?84;hcb>5<#:821m95a205962=<ah31<7*=198b0>h59>09465fa983>!4603k?7c<>7;0:?>of?3:1(??7:`68j7702;k07do9:18'64>=i=1e><952c98m<e=83.9=54n4:l152<5k21b5<4?:%02<?g33g8:;7<k;:k;2?6=,;;36l:4n334>7c<3`=i6=4+20:9e1=i:8=1>k54o0cg>5<#:821=lm4n334>5=<g8ki6=4+20:95de<f;;<6<54o0cb>5<#:821=lm4n334>7=<g8k26=4+20:95de<f;;<6>54o0c;>5<#:821=lm4n334>1=<g8k<6=4+20:95de<f;;<6854o0c5>5<#:821=lm4n334>3=<g8k>6=4+20:95de<f;;<6:54o0c0>5<#:821=lm4n334>==<g8k96=4+20:95de<f;;<6454o0c2>5<#:821=lm4n334>d=<g8k;6=4+20:95de<f;;<6o54o0;e>5<#:821=lm4n334>f=<g83n6=4+20:95de<f;;<6i54o0;g>5<#:821=lm4n334>`=<g83h6=4+20:95de<f;;<6k54o0;a>5<#:821=lm4n334>46<3f;2m7>5$33;>4gd3g8:;7?>;:m2==<72-8:47?nc:l152<6:21d=4950;&15=<6ij1e><951298k4?1290/><651`a8j77028>07b?65;29 77?28kh7c<>7;36?>i61=0;6)<>8;3bg>h59>0::65`18194?"5910:mn5a205952=<g8396=4+20:95de<f;;<6<64;n3:5?6=,;;36<ol;o023?7>32e:5=4?:%02<?7fk2d9=:4>a:9l5=`=83.9=54>ab9m641=9k10c<6k:18'64>=9hi0b??8:0a8?j7?k3:1(??7:0c`?k46?3;o76a>8c83>!4603;jo6`=1682a>=h91k1<7*=1982ef=i:8=1=k54o0::>5<#:821=lm4n334>76<3f;347>5$33;>4gd3g8:;7<>;:m2<2<72-8:47?nc:l152<5:21d=5850;&15=<6ij1e><952298k4>2290/><651`a8j7702;>07b?74;29 77?28kh7c<>7;06?>i6j:0;6)<>8;3bg>h59>09:65`1c094?"5910:mn5a205962=<g8h:6=4+20:95de<f;;<6?64;n3a4?6=,;;36<ol;o023?4>32e:mk4?:%02<?7fk2d9=:4=a:9l5dc=83.9=54>ab9m641=:k10c<o;:18'64>=9hi0b??8:3a8?j7>13:1(??7:0c`?k46?38o76a>8d83>!4603;jo6`=1681a>=h9191<7*=1982ef=i:8=1>k54i05g>5<#:821=:m4n334>5=<a8=i6=4+20:952e<f;;<6<54i05b>5<#:821=:m4n334>7=<a8=26=4+20:952e<f;;<6>54i05;>5<#:821=:m4n334>1=<a8=<6=4+20:952e<f;;<6854i055>5<#:821=:m4n334>3=<a8=>6=4+20:952e<f;;<6:54ief94?"5910oo6`=1683?>ocj3:1(??7:ea8j7702810eio50;&15=<ck2d9=:4=;:kg=?6=,;;36im4n334>6=<am21<7*=198gg>h59>0?76gk7;29 77?2mi0b??8:498ma0=83.9=54kc:l152<132co97>5$33;>ae<f;;<6:54id494?"5910oo6`=168;?>ob=3:1(??7:ea8j7702010eh:50;&15=<ck2d9=:4n;:kf7?6=,;;36im4n334>g=<al81<7*=198gg>h59>0h76gj1;29 77?2mi0b??8:e98m`6=83.9=54kc:l152<b32coj7>5$33;>ae<f;;<6k54ieg94?"5910oo6`=16824>=nl=0;6)<>8;f`?k46?3;:76a=1183>!46038;j6`=1683?>i58l0;6)<>8;03b>h59>0:76a=0e83>!46038;j6`=1681?>i58j0;6)<>8;03b>h59>0876a=0c83>!46038;j6`=1687?>i58h0;6)<>8;03b>h59>0>76a=0883>!46038;j6`=1685?>i5810;6)<>8;03b>h59>0<76a=0783>!46038;j6`=168;?>i58<0;6)<>8;03b>h59>0276a=0583>!46038;j6`=168b?>i58:0;6)<>8;03b>h59>0i76a=0383>!46038;j6`=168`?>i5880;6)<>8;03b>h59>0o76a=0183>!46038;j6`=168f?>i6no0;6)<>8;03b>h59>0m76a>fd83>!46038;j6`=16824>=h9on1<7*=19814c=i:8=1=<54o0da>5<#:821>=h4n334>44<3f;mm7>5$33;>76a3g8:;7?<;:m2b<<72-8:47<?f:l152<6<21d=k650;&15=<58o1e><951498k4`0290/><6521d8j77028<07b?i6;29 77?2;:m7c<>7;34?>i6n<0;6)<>8;03b>h59>0:465`1g694?"59109<k5a20595<=<g8l86=4+20:965`<f;;<6<o4;n3e6?6=,;;36?>i;o023?7e32e:j=4?:%02<?47n2d9=:4>c:9l5``=83.9=54=0g9m641=9m10c<kj:18'64>=:9l0b??8:0g8?j7bl3:1(??7:32e?k46?3;m76a>eb83>!46038;j6`=16814>=h9lh1<7*=19814c=i:8=1><54o0gb>5<#:821>=h4n334>74<3f;n57>5$33;>76a3g8:;7<<;:m2a=<72-8:47<?f:l152<5<21d=h950;&15=<58o1e><952498k771290/><6521d8j7702;<07b<>5;29 77?2;:m7c<>7;04?>i59=0;6)<>8;03b>h59>09465`20194?"59109<k5a20596<=<g;;96=4+20:965`<f;;<6?o4;n025?6=,;;36?>i;o023?4e32e9<:4?:%02<?47n2d9=:4=c:9l5ce=83.9=54=0g9m641=:m10c<h>:18'64>=:9l0b??8:3g8?j7b>3:1(??7:32e?k46?38m76g=b;29 77?2;k0b??8:198m7?=83.9=54=a:l152<632c947>5$33;>7g<f;;<6?54i3594?"59109m6`=1680?>o5>3:1(??7:3c8j7702=10e?;50;&15=<5i2d9=:4:;:k10?6=,;;36?o4n334>3=<a;91<7*=1981e>h59>0<76g<8;29 77?2;k0b??8:998m61=83.9=54=a:l152<>32c8:7>5$33;>7g<f;;<6l54i2794?"59109m6`=168a?>o4<3:1(??7:3c8j7702j10e>=50;&15=<5i2d9=:4k;:k06?6=,;;36?o4n334>`=<a:;1<7*=1981e>h59>0m76g=e;29 77?2;k0b??8:028?l45290/><652`9m641=9810c<8::18'64>=9?>0b??8:198k404290/><651768j7702810c<8=:18'64>=9?>0b??8:398k406290/><651768j7702:10c<8?:18'64>=9?>0b??8:598k43a290/><651768j7702<10c<;k:18'64>=9?>0b??8:798k43d290/><651768j7702>10c<;m:18'64>=9?>0b??8:998k43f290/><651768j7702010c<;6:18'64>=9?>0b??8:`98k43?290/><651768j7702k10c<;8:18'64>=9?>0b??8:b98k431290/><651768j7702m10c<;::18'64>=9?>0b??8:d98k433290/><651768j7702o10c<;=:18'64>=9?>0b??8:028?j7293:1(??7:047?k46?3;:76a>5183>!4603;=86`=16826>=h9=l1<7*=198221=i:8=1=>54o06f>5<#:821=;:4n334>42<3f;?h7>5$33;>4033g8:;7?:;:m20f<72-8:47?94:l152<6>21d=9l50;&15=<6>=1e><951698k42f290/><651768j77028207b?;9;29 77?28<?7c<>7;3:?>i6>j0;6)<>8;350>h59>0:m65`17`94?"5910::95a20595g=<g8<j6=4+20:9532<f;;<6<m4;n35=?6=,;;36<8;;o023?7c32e::54?:%02<?71<2d9=:4>e:9l531=83.9=54>659m641=9o10c<89:18'64>=9?>0b??8:328?j72m3:1(??7:047?k46?38:76a>5283>!4603;=86`=16816>=h9=21<7*=198221=i:8=1>>54i4`94?"5910>m6`=1683?M41j21b944?:%02<?3f3g8:;7?4H34a?>o203:1(??7:4c8j7702;1C>;l4;h74>5<#:8219l5a20597>N5>k10e8850;&15=<2i2d9=:4;;I05f>=n=<0;6)<>8;7b?k46?3?0D?8m;:k60?6=,;;368o4n334>3=O:?h07d;<:18'64>==h1e><957:J12g=<a?>1<7*=1986e>h59>037E<9b:9j26<72-8:47;n;o023??<@;<i76g92;29 77?2<k0b??8:`9K63d<3`<:6=4+20:91d=i:8=1n6F=6c98m36=83.9=54:a:l152<d3A8=n65f5g83>!4603?j7c<>7;f8L70e32c>i7>5$33;>0g<f;;<6h5G27`8?l3c290/><655`9m641=n2B9:o54i4a94?"5910>m6`=16824>N5>k10e8<50;&15=<2i2d9=:4>1:J12g=<uk8ji7>514c94?6|,;=;6<=m;I042>N5?81Q;54m{0g9e?7?28<1;78514823?>==3;?6p*>7g80g`=i:90;7c<>:19m7<<73g9j6=5aae83?!gb28=?7cj=:19m56g=82d::i4?;o34a?6<f;;26=5+237963`<fk;1<6gm6;29?l46n3:17d<=0;29?ld02900e?<>:188mg?=831bn84?::ma4?6=3`89?7>5;h016?6=3`ho6=44i33f>5<<ak21<75fb583>>ofk3:17dln:188mg5=831dmk4?::k15a<722c9>94?::k70?6=,;;369=4n334>5=<a=81<7*=19877>h59>0:76g;1;29 77?2=90b??8:398m16=83.9=54;3:l152<432c8j7>5$33;>15<f;;<6954i2g94?"5910??6`=1686?>o4l3:1(??7:518j7702?10e>m50;&15=<3;2d9=:48;:k65?6=,;;369=4n334>==<a<:1<7*=19877>h59>0276g;f;29 77?2=90b??8:`98m1c=83.9=54;3:l152<e32c?h7>5$33;>15<f;;<6n54i5a94?"5910??6`=168g?>o3j3:1(??7:518j7702l10e9o50;&15=<3;2d9=:4i;:k73?6=,;;369=4n334>46<3`9i6=4+20:906=i:8=1=<54o7g94?"5910=h6`=1683?>i1k3:1(??7:7f8j7702810c;l50;&15=<1l2d9=:4=;:m5e?6=,;;36;j4n334>6=<g?31<7*=1985`>h59>0?76a98;29 77?2?n0b??8:498k31=83.9=549d:l152<132e=:7>5$33;>3b<f;;<6:54o6594?"5910=h6`=168;?>i0>3:1(??7:7f8j7702010c:;50;&15=<1l2d9=:4n;:m40?6=,;;36;j4n334>g=<g>91<7*=1985`>h59>0h76a82;29 77?2?n0b??8:e98k27=83.9=549d:l152<b32e<<7>5$33;>3b<f;;<6k54o7d94?"5910=h6`=16824>=h><0;6)<>8;4g?k46?3;:76g>dg83>!4603;oi6`=1683?>o6lm0;6)<>8;3ga>h59>0:76g>db83>!4603;oi6`=1681?>o6lk0;6)<>8;3ga>h59>0876g>d`83>!4603;oi6`=1687?>o6l00;6)<>8;3ga>h59>0>76g>d983>!4603;oi6`=1685?>o6l>0;6)<>8;3ga>h59>0<76g>d483>!4603;oi6`=168;?>o6l=0;6)<>8;3ga>h59>0276g>d283>!4603;oi6`=168b?>o6l;0;6)<>8;3ga>h59>0i76g>d083>!4603;oi6`=168`?>o6l90;6)<>8;3ga>h59>0o76g>cg83>!4603;oi6`=168f?>o6kl0;6)<>8;3ga>h59>0m76g>ce83>!4603;oi6`=16824>=n9ji1<7*=1982``=i:8=1=<54i0ab>5<#:821=ik4n334>44<3`;h57>5$33;>4bb3g8:;7?<;:k2g=<72-8:47?ke:l152<6<21b=n950;&15=<6ll1e><951498m4e1290/><651eg8j77028<07d?l5;29 77?28nn7c<>7;34?>o6k=0;6)<>8;3ga>h59>0:465f1b194?"5910:hh5a20595<=<a8i96=4+20:95ac<f;;<6<o4;h3`5?6=,;;36<jj;o023?7e32c:nk4?:%02<?7cm2d9=:4>c:9j5gc=83.9=54>dd9m641=9m10e<lk:18'64>=9mo0b??8:0g8?l7ek3:1(??7:0ff?k46?3;m76g>bc83>!4603;oi6`=16814>=n9kk1<7*=1982``=i:8=1><54i0`:>5<#:821=ik4n334>74<3`;i47>5$33;>4bb3g8:;7<<;:k2f2<72-8:47?ke:l152<5<21b=o850;&15=<6ll1e><952498m4c2290/><651eg8j7702;<07d?j4;29 77?28nn7c<>7;04?>o6m:0;6)<>8;3ga>h59>09465f1d094?"5910:hh5a20596<=<a8o:6=4+20:95ac<f;;<6?o4;h3f4?6=,;;36<jj;o023?4e32c:h;4?:%02<?7cm2d9=:4=c:9j5fd=83.9=54>dd9m641=:m10e<m?:18'64>=9mo0b??8:3g8?l7e=3:1(??7:0ff?k46?38m76gn5;29 77?2h>0b??8:198md5=83.9=54n4:l152<632cj>7>5$33;>d2<f;;<6?54i`394?"5910j86`=1680?>of83:1(??7:`68j7702=10e4h50;&15=<f<2d9=:4:;:k:a?6=,;;36l:4n334>3=<a0n1<7*=198b0>h59>0<76g6b;29 77?2h>0b??8:998m<g=83.9=54n4:l152<>32c257>5$33;>d2<f;;<6l54i8:94?"5910j86`=168a?>o>?3:1(??7:`68j7702j10e4850;&15=<f<2d9=:4k;:k:1?6=,;;36l:4n334>`=<a0>1<7*=198b0>h59>0m76g63;29 77?2h>0b??8:028?l?5290/><65a59m641=9810e4>50;&15=<f<2d9=:4>2:9j<c<72-8:47o;;o023?7432c3i7>5$33;>d2<f;;<6<:4;h:g>5<#:821m95a205950=<a1i1<7*=198b0>h59>0::65f8c83>!4603k?7c<>7;34?>o?i3:1(??7:`68j77028207d66:18'64>=i=1e><951898m=>=83.9=54n4:l152<6i21b4:4?:%02<?g33g8:;7?m;:k;1?6=,;;36l:4n334>4e<3`2?6=4+20:9e1=i:8=1=i54i9194?"5910j86`=1682a>=n0;0;6)<>8;c7?k46?3;m76g71;29 77?2h>0b??8:328?l>7290/><65a59m641=:810e:h50;&15=<f<2d9=:4=2:9j3`<72-8:47o;;o023?4432c<h7>5$33;>d2<f;;<6?:4;h5`>5<#:821m95a205960=<ahh1<7*=198b0>h59>09:65fa`83>!4603k?7c<>7;04?>of13:1(??7:`68j7702;207do7:18'64>=i=1e><952898md1=83.9=54n4:l152<5i21bm;4?:%02<?g33g8:;7<m;:k:g?6=,;;36l:4n334>7e<3`3:6=4+20:9e1=i:8=1>i54i9494?"5910j86`=1681a>=n?k0;6)<>8;c7?k46?38m76a>ae83>!4603;jo6`=1683?>i6ik0;6)<>8;3bg>h59>0:76a>a`83>!4603;jo6`=1681?>i6i00;6)<>8;3bg>h59>0876a>a983>!4603;jo6`=1687?>i6i>0;6)<>8;3bg>h59>0>76a>a783>!4603;jo6`=1685?>i6i<0;6)<>8;3bg>h59>0<76a>a283>!4603;jo6`=168;?>i6i;0;6)<>8;3bg>h59>0276a>a083>!4603;jo6`=168b?>i6i90;6)<>8;3bg>h59>0i76a>9g83>!4603;jo6`=168`?>i61l0;6)<>8;3bg>h59>0o76a>9e83>!4603;jo6`=168f?>i61j0;6)<>8;3bg>h59>0m76a>9c83>!4603;jo6`=16824>=h90k1<7*=1982ef=i:8=1=<54o0;;>5<#:821=lm4n334>44<3f;2;7>5$33;>4gd3g8:;7?<;:m2=3<72-8:47?nc:l152<6<21d=4;50;&15=<6ij1e><951498k4?3290/><651`a8j77028<07b?63;29 77?28kh7c<>7;34?>i61;0;6)<>8;3bg>h59>0:465`18394?"5910:mn5a20595<=<g83;6=4+20:95de<f;;<6<o4;n3;b?6=,;;36<ol;o023?7e32e:4i4?:%02<?7fk2d9=:4>c:9l5=e=83.9=54>ab9m641=9m10c<6m:18'64>=9hi0b??8:0g8?j7?i3:1(??7:0c`?k46?3;m76a>8883>!4603;jo6`=16814>=h9121<7*=1982ef=i:8=1><54o0:4>5<#:821=lm4n334>74<3f;3:7>5$33;>4gd3g8:;7<<;:m2<0<72-8:47?nc:l152<5<21d=5:50;&15=<6ij1e><952498k4d4290/><651`a8j7702;<07b?m2;29 77?28kh7c<>7;04?>i6j80;6)<>8;3bg>h59>09465`1c294?"5910:mn5a20596<=<g8km6=4+20:95de<f;;<6?o4;n3ba?6=,;;36<ol;o023?4e32e:m94?:%02<?7fk2d9=:4=c:9l5<?=83.9=54>ab9m641=:m10c<6j:18'64>=9hi0b??8:3g8?j7?;3:1(??7:0c`?k46?38m76g>7e83>!4603;<o6`=1683?>o6?k0;6)<>8;34g>h59>0:76g>7`83>!4603;<o6`=1681?>o6?00;6)<>8;34g>h59>0876g>7983>!4603;<o6`=1687?>o6?>0;6)<>8;34g>h59>0>76g>7783>!4603;<o6`=1685?>o6?<0;6)<>8;34g>h59>0<76gkd;29 77?2mi0b??8:198mad=83.9=54kc:l152<632com7>5$33;>ae<f;;<6?54ie;94?"5910oo6`=1680?>oc03:1(??7:ea8j7702=10ei950;&15=<ck2d9=:4:;:kg2?6=,;;36im4n334>3=<am?1<7*=198gg>h59>0<76gj6;29 77?2mi0b??8:998m`3=83.9=54kc:l152<>32cn87>5$33;>ae<f;;<6l54id194?"5910oo6`=168a?>ob:3:1(??7:ea8j7702j10eh?50;&15=<ck2d9=:4k;:kf4?6=,;;36im4n334>`=<aml1<7*=198gg>h59>0m76gke;29 77?2mi0b??8:028?lb3290/><65db9m641=9810c???:18'64>=:9l0b??8:198k76b290/><6521d8j7702810c?>k:18'64>=:9l0b??8:398k76d290/><6521d8j7702:10c?>m:18'64>=:9l0b??8:598k76f290/><6521d8j7702<10c?>6:18'64>=:9l0b??8:798k76?290/><6521d8j7702>10c?>9:18'64>=:9l0b??8:998k762290/><6521d8j7702010c?>;:18'64>=:9l0b??8:`98k764290/><6521d8j7702k10c?>=:18'64>=:9l0b??8:b98k766290/><6521d8j7702m10c?>?:18'64>=:9l0b??8:d98k4`a290/><6521d8j7702o10c<hj:18'64>=:9l0b??8:028?j7al3:1(??7:32e?k46?3;:76a>fc83>!46038;j6`=16826>=h9ok1<7*=19814c=i:8=1=>54o0d:>5<#:821>=h4n334>42<3f;m47>5$33;>76a3g8:;7?:;:m2b2<72-8:47<?f:l152<6>21d=k850;&15=<58o1e><951698k4`2290/><6521d8j77028207b?i4;29 77?2;:m7c<>7;3:?>i6n:0;6)<>8;03b>h59>0:m65`1g094?"59109<k5a20595g=<g8l;6=4+20:965`<f;;<6<m4;n3fb?6=,;;36?>i;o023?7c32e:ih4?:%02<?47n2d9=:4>e:9l5`b=83.9=54=0g9m641=9o10c<kl:18'64>=:9l0b??8:328?j7bj3:1(??7:32e?k46?38:76a>e`83>!46038;j6`=16816>=h9l31<7*=19814c=i:8=1>>54o0g;>5<#:821>=h4n334>72<3f;n;7>5$33;>76a3g8:;7<:;:m153<72-8:47<?f:l152<5>21d><;50;&15=<58o1e><952698k773290/><6521d8j7702;207b<>3;29 77?2;:m7c<>7;0:?>i59;0;6)<>8;03b>h59>09m65`20394?"59109<k5a20596g=<g;:<6=4+20:965`<f;;<6?m4;n3eg?6=,;;36?>i;o023?4c32e:j<4?:%02<?47n2d9=:4=e:9l5`0=83.9=54=0g9m641=:o10e?l50;&15=<5i2d9=:4?;:k1=?6=,;;36?o4n334>4=<a;21<7*=1981e>h59>0976g=7;29 77?2;k0b??8:298m70=83.9=54=a:l152<332c997>5$33;>7g<f;;<6854i3694?"59109m6`=1685?>o5;3:1(??7:3c8j7702>10e>650;&15=<5i2d9=:47;:k03?6=,;;36?o4n334><=<a:<1<7*=1981e>h59>0j76g<5;29 77?2;k0b??8:c98m62=83.9=54=a:l152<d32c8?7>5$33;>7g<f;;<6i54i2094?"59109m6`=168f?>o493:1(??7:3c8j7702o10e?k50;&15=<5i2d9=:4>0:9j67<72-8:47<n;o023?7632e::84?:%02<?71<2d9=:4?;:m226<72-8:47?94:l152<632e::?4?:%02<?71<2d9=:4=;:m224<72-8:47?94:l152<432e::=4?:%02<?71<2d9=:4;;:m21c<72-8:47?94:l152<232e:9i4?:%02<?71<2d9=:49;:m21f<72-8:47?94:l152<032e:9o4?:%02<?71<2d9=:47;:m21d<72-8:47?94:l152<>32e:944?:%02<?71<2d9=:4n;:m21=<72-8:47?94:l152<e32e:9:4?:%02<?71<2d9=:4l;:m213<72-8:47?94:l152<c32e:984?:%02<?71<2d9=:4j;:m211<72-8:47?94:l152<a32e:9?4?:%02<?71<2d9=:4>0:9l507=83.9=54>659m641=9810c<;?:18'64>=9?>0b??8:008?j73n3:1(??7:047?k46?3;876a>4d83>!4603;=86`=16820>=h9=n1<7*=198221=i:8=1=854o06`>5<#:821=;:4n334>40<3f;?n7>5$33;>4033g8:;7?8;:m20d<72-8:47?94:l152<6021d=9750;&15=<6>=1e><951898k40d290/><651768j77028k07b?9b;29 77?28<?7c<>7;3a?>i6>h0;6)<>8;350>h59>0:o65`17;94?"5910::95a20595a=<g8<36=4+20:9532<f;;<6<k4;n353?6=,;;36<8;;o023?7a32e::;4?:%02<?71<2d9=:4=0:9l50c=83.9=54>659m641=:810c<;<:18'64>=9?>0b??8:308?j7303:1(??7:047?k46?38876g:b;29 77?2<k0b??8:19K63d<3`?26=4+20:91d=i:8=1=6F=6c98m0>=83.9=54:a:l152<53A8=n65f5683>!4603?j7c<>7;18L70e32c>:7>5$33;>0g<f;;<695G27`8?l32290/><655`9m641==2B9:o54i4694?"5910>m6`=1685?M41j21b9>4?:%02<?3f3g8:;794H34a?>o1<3:1(??7:4c8j770211C>;l4;h40>5<#:8219l5a2059=>N5>k10e;<50;&15=<2i2d9=:4n;I05f>=n>80;6)<>8;7b?k46?3h0D?8m;:k54?6=,;;368o4n334>f=O:?h07d;i:18'64>==h1e><95d:J12g=<a<o1<7*=1986e>h59>0n7E<9b:9j1a<72-8:47;n;o023?`<@;<i76g:c;29 77?2<k0b??8:028L70e32c>>7>5$33;>0g<f;;<6<?4H34a?>{e:hl1<7?:a;294~"5?90:?o5G2648L7163S=36ou>e;c95=<6>3=1:7?::059<?3=9=0v(<9i:2af?k47291e><4?;o1:>5=i;h0;7cok:19'e`<6?=1eh?4?;o30e?6<f8<o6=5a16g94>h5900;7)<=5;05b>he93:0eo850;9j64`=831b>?>50;9jf2<722c9><4?::ka=?6=3`h>6=44oc294?=n:;91<75f23094?=njm0;66g=1d83>>oe03:17dl;:188mde=831bnl4?::ka7?6=3fkm6=44i33g>5<<a;8?6=44i5694?"5910??6`=1683?>o3:3:1(??7:518j7702810e9?50;&15=<3;2d9=:4=;:k74?6=,;;369=4n334>6=<a:l1<7*=19877>h59>0?76g<e;29 77?2=90b??8:498m6b=83.9=54;3:l152<132c8o7>5$33;>15<f;;<6:54i4394?"5910??6`=168;?>o283:1(??7:518j7702010e9h50;&15=<3;2d9=:4n;:k7a?6=,;;369=4n334>g=<a=n1<7*=19877>h59>0h76g;c;29 77?2=90b??8:e98m1d=83.9=54;3:l152<b32c?m7>5$33;>15<f;;<6k54i5594?"5910??6`=16824>=n;k0;6)<>8;60?k46?3;:76a9e;29 77?2?n0b??8:198k3e=83.9=549d:l152<632e=n7>5$33;>3b<f;;<6?54o7c94?"5910=h6`=1680?>i113:1(??7:7f8j7702=10c;650;&15=<1l2d9=:4:;:m53?6=,;;36;j4n334>3=<g?<1<7*=1985`>h59>0<76a87;29 77?2?n0b??8:998k20=83.9=549d:l152<>32e<97>5$33;>3b<f;;<6l54o6694?"5910=h6`=168a?>i0;3:1(??7:7f8j7702j10c:<50;&15=<1l2d9=:4k;:m45?6=,;;36;j4n334>`=<g>:1<7*=1985`>h59>0m76a9f;29 77?2?n0b??8:028?j02290/><656e9m641=9810e<ji:18'64>=9mo0b??8:198m4bc290/><651eg8j7702810e<jl:18'64>=9mo0b??8:398m4be290/><651eg8j7702:10e<jn:18'64>=9mo0b??8:598m4b>290/><651eg8j7702<10e<j7:18'64>=9mo0b??8:798m4b0290/><651eg8j7702>10e<j::18'64>=9mo0b??8:998m4b3290/><651eg8j7702010e<j<:18'64>=9mo0b??8:`98m4b5290/><651eg8j7702k10e<j>:18'64>=9mo0b??8:b98m4b7290/><651eg8j7702m10e<mi:18'64>=9mo0b??8:d98m4eb290/><651eg8j7702o10e<mk:18'64>=9mo0b??8:028?l7dk3:1(??7:0ff?k46?3;:76g>c`83>!4603;oi6`=16826>=n9j31<7*=1982``=i:8=1=>54i0a;>5<#:821=ik4n334>42<3`;h;7>5$33;>4bb3g8:;7?:;:k2g3<72-8:47?ke:l152<6>21b=n;50;&15=<6ll1e><951698m4e3290/><651eg8j77028207d?l3;29 77?28nn7c<>7;3:?>o6k;0;6)<>8;3ga>h59>0:m65f1b394?"5910:hh5a20595g=<a8hm6=4+20:95ac<f;;<6<m4;h3aa?6=,;;36<jj;o023?7c32c:ni4?:%02<?7cm2d9=:4>e:9j5ge=83.9=54>dd9m641=9o10e<lm:18'64>=9mo0b??8:328?l7ei3:1(??7:0ff?k46?38:76g>b883>!4603;oi6`=16816>=n9k21<7*=1982``=i:8=1>>54i0`4>5<#:821=ik4n334>72<3`;i:7>5$33;>4bb3g8:;7<:;:k2a0<72-8:47?ke:l152<5>21b=h:50;&15=<6ll1e><952698m4c4290/><651eg8j7702;207d?j2;29 77?28nn7c<>7;0:?>o6m80;6)<>8;3ga>h59>09m65f1d294?"5910:hh5a20596g=<a8n=6=4+20:95ac<f;;<6?m4;h3`f?6=,;;36<jj;o023?4c32c:o=4?:%02<?7cm2d9=:4=e:9j5g3=83.9=54>dd9m641=:o10el;50;&15=<f<2d9=:4?;:kb7?6=,;;36l:4n334>4=<ah81<7*=198b0>h59>0976gn1;29 77?2h>0b??8:298md6=83.9=54n4:l152<332c2j7>5$33;>d2<f;;<6854i8g94?"5910j86`=1685?>o>l3:1(??7:`68j7702>10e4l50;&15=<f<2d9=:47;:k:e?6=,;;36l:4n334><=<a031<7*=198b0>h59>0j76g68;29 77?2h>0b??8:c98m<1=83.9=54n4:l152<d32c2:7>5$33;>d2<f;;<6i54i8794?"5910j86`=168f?>o><3:1(??7:`68j7702o10e4=50;&15=<f<2d9=:4>0:9j=7<72-8:47o;;o023?7632c2<7>5$33;>d2<f;;<6<<4;h:e>5<#:821m95a205956=<a1o1<7*=198b0>h59>0:865f8e83>!4603k?7c<>7;36?>o?k3:1(??7:`68j77028<07d6m:18'64>=i=1e><951698m=g=83.9=54n4:l152<6021b444?:%02<?g33g8:;7?6;:k;<?6=,;;36l:4n334>4g<3`2<6=4+20:9e1=i:8=1=o54i9794?"5910j86`=1682g>=n0=0;6)<>8;c7?k46?3;o76g73;29 77?2h>0b??8:0g8?l>5290/><65a59m641=9o10e5?50;&15=<f<2d9=:4=0:9j<5<72-8:47o;;o023?4632c<j7>5$33;>d2<f;;<6?<4;h5f>5<#:821m95a205966=<a>n1<7*=198b0>h59>09865f7b83>!4603k?7c<>7;06?>ofj3:1(??7:`68j7702;<07don:18'64>=i=1e><952698md?=83.9=54n4:l152<5021bm54?:%02<?g33g8:;7<6;:kb3?6=,;;36l:4n334>7g<3`k=6=4+20:9e1=i:8=1>o54i8a94?"5910j86`=1681g>=n180;6)<>8;c7?k46?38o76g76;29 77?2h>0b??8:3g8?l1e290/><65a59m641=:o10c<ok:18'64>=9hi0b??8:198k4ge290/><651`a8j7702810c<on:18'64>=9hi0b??8:398k4g>290/><651`a8j7702:10c<o7:18'64>=9hi0b??8:598k4g0290/><651`a8j7702<10c<o9:18'64>=9hi0b??8:798k4g2290/><651`a8j7702>10c<o<:18'64>=9hi0b??8:998k4g5290/><651`a8j7702010c<o>:18'64>=9hi0b??8:`98k4g7290/><651`a8j7702k10c<7i:18'64>=9hi0b??8:b98k4?b290/><651`a8j7702m10c<7k:18'64>=9hi0b??8:d98k4?d290/><651`a8j7702o10c<7m:18'64>=9hi0b??8:028?j7>i3:1(??7:0c`?k46?3;:76a>9983>!4603;jo6`=16826>=h90=1<7*=1982ef=i:8=1=>54o0;5>5<#:821=lm4n334>42<3f;297>5$33;>4gd3g8:;7?:;:m2=1<72-8:47?nc:l152<6>21d=4=50;&15=<6ij1e><951698k4?5290/><651`a8j77028207b?61;29 77?28kh7c<>7;3:?>i6190;6)<>8;3bg>h59>0:m65`19d94?"5910:mn5a20595g=<g82o6=4+20:95de<f;;<6<m4;n3;g?6=,;;36<ol;o023?7c32e:4o4?:%02<?7fk2d9=:4>e:9l5=g=83.9=54>ab9m641=9o10c<66:18'64>=9hi0b??8:328?j7?03:1(??7:0c`?k46?38:76a>8683>!4603;jo6`=16816>=h91<1<7*=1982ef=i:8=1>>54o0:6>5<#:821=lm4n334>72<3f;387>5$33;>4gd3g8:;7<:;:m2f6<72-8:47?nc:l152<5>21d=o<50;&15=<6ij1e><952698k4d6290/><651`a8j7702;207b?m0;29 77?28kh7c<>7;0:?>i6io0;6)<>8;3bg>h59>09m65`1`g94?"5910:mn5a20596g=<g8k?6=4+20:95de<f;;<6?m4;n3:=?6=,;;36<ol;o023?4c32e:4h4?:%02<?7fk2d9=:4=e:9l5=5=83.9=54>ab9m641=:o10e<9k:18'64>=9>i0b??8:198m41e290/><6516a8j7702810e<9n:18'64>=9>i0b??8:398m41>290/><6516a8j7702:10e<97:18'64>=9>i0b??8:598m410290/><6516a8j7702<10e<99:18'64>=9>i0b??8:798m412290/><6516a8j7702>10eij50;&15=<ck2d9=:4?;:kgf?6=,;;36im4n334>4=<amk1<7*=198gg>h59>0976gk9;29 77?2mi0b??8:298ma>=83.9=54kc:l152<332co;7>5$33;>ae<f;;<6854ie494?"5910oo6`=1685?>oc=3:1(??7:ea8j7702>10eh850;&15=<ck2d9=:47;:kf1?6=,;;36im4n334><=<al>1<7*=198gg>h59>0j76gj3;29 77?2mi0b??8:c98m`4=83.9=54kc:l152<d32cn=7>5$33;>ae<f;;<6i54id294?"5910oo6`=168f?>ocn3:1(??7:ea8j7702o10eik50;&15=<ck2d9=:4>0:9j`1<72-8:47jl;o023?7632e9==4?:%02<?47n2d9=:4?;:m14`<72-8:47<?f:l152<632e9<i4?:%02<?47n2d9=:4=;:m14f<72-8:47<?f:l152<432e9<o4?:%02<?47n2d9=:4;;:m14d<72-8:47<?f:l152<232e9<44?:%02<?47n2d9=:49;:m14=<72-8:47<?f:l152<032e9<;4?:%02<?47n2d9=:47;:m140<72-8:47<?f:l152<>32e9<94?:%02<?47n2d9=:4n;:m146<72-8:47<?f:l152<e32e9<?4?:%02<?47n2d9=:4l;:m144<72-8:47<?f:l152<c32e9<=4?:%02<?47n2d9=:4j;:m2bc<72-8:47<?f:l152<a32e:jh4?:%02<?47n2d9=:4>0:9l5cb=83.9=54=0g9m641=9810c<hm:18'64>=:9l0b??8:008?j7ai3:1(??7:32e?k46?3;876a>f883>!46038;j6`=16820>=h9o21<7*=19814c=i:8=1=854o0d4>5<#:821>=h4n334>40<3f;m:7>5$33;>76a3g8:;7?8;:m2b0<72-8:47<?f:l152<6021d=k:50;&15=<58o1e><951898k4`4290/><6521d8j77028k07b?i2;29 77?2;:m7c<>7;3a?>i6n90;6)<>8;03b>h59>0:o65`1dd94?"59109<k5a20595a=<g8on6=4+20:965`<f;;<6<k4;n3f`?6=,;;36?>i;o023?7a32e:in4?:%02<?47n2d9=:4=0:9l5`d=83.9=54=0g9m641=:810c<kn:18'64>=:9l0b??8:308?j7b13:1(??7:32e?k46?38876a>e983>!46038;j6`=16810>=h9l=1<7*=19814c=i:8=1>854o335>5<#:821>=h4n334>70<3f8:97>5$33;>76a3g8:;7<8;:m151<72-8:47<?f:l152<5021d><=50;&15=<58o1e><952898k775290/><6521d8j7702;k07b<>1;29 77?2;:m7c<>7;0a?>i58>0;6)<>8;03b>h59>09o65`1ga94?"59109<k5a20596a=<g8l:6=4+20:965`<f;;<6?k4;n3f2?6=,;;36?>i;o023?4a32c9n7>5$33;>7g<f;;<6=54i3;94?"59109m6`=1682?>o503:1(??7:3c8j7702;10e?950;&15=<5i2d9=:4<;:k12?6=,;;36?o4n334>1=<a;?1<7*=1981e>h59>0>76g=4;29 77?2;k0b??8:798m75=83.9=54=a:l152<032c847>5$33;>7g<f;;<6554i2594?"59109m6`=168:?>o4>3:1(??7:3c8j7702h10e>;50;&15=<5i2d9=:4m;:k00?6=,;;36?o4n334>f=<a:91<7*=1981e>h59>0o76g<2;29 77?2;k0b??8:d98m67=83.9=54=a:l152<a32c9i7>5$33;>7g<f;;<6<>4;h01>5<#:821>l5a205954=<g8<>6=4+20:9532<f;;<6=54o040>5<#:821=;:4n334>4=<g8<96=4+20:9532<f;;<6?54o042>5<#:821=;:4n334>6=<g8<;6=4+20:9532<f;;<6954o07e>5<#:821=;:4n334>0=<g8?o6=4+20:9532<f;;<6;54o07`>5<#:821=;:4n334>2=<g8?i6=4+20:9532<f;;<6554o07b>5<#:821=;:4n334><=<g8?26=4+20:9532<f;;<6l54o07;>5<#:821=;:4n334>g=<g8?<6=4+20:9532<f;;<6n54o075>5<#:821=;:4n334>a=<g8?>6=4+20:9532<f;;<6h54o077>5<#:821=;:4n334>c=<g8?96=4+20:9532<f;;<6<>4;n365?6=,;;36<8;;o023?7632e:9=4?:%02<?71<2d9=:4>2:9l51`=83.9=54>659m641=9:10c<:j:18'64>=9?>0b??8:068?j73l3:1(??7:047?k46?3;>76a>4b83>!4603;=86`=16822>=h9=h1<7*=198221=i:8=1=:54o06b>5<#:821=;:4n334>4><3f;?57>5$33;>4033g8:;7?6;:m22f<72-8:47?94:l152<6i21d=;l50;&15=<6>=1e><951c98k40f290/><651768j77028i07b?99;29 77?28<?7c<>7;3g?>i6>10;6)<>8;350>h59>0:i65`17594?"5910::95a20595c=<g8<=6=4+20:9532<f;;<6?>4;n36a?6=,;;36<8;;o023?4632e:9>4?:%02<?71<2d9=:4=2:9l51>=83.9=54>659m641=::10e8l50;&15=<2i2d9=:4?;I05f>=n=00;6)<>8;7b?k46?3;0D?8m;:k6<?6=,;;368o4n334>7=O:?h07d;8:18'64>==h1e><953:J12g=<a<<1<7*=1986e>h59>0?7E<9b:9j10<72-8:47;n;o023?3<@;<i76g:4;29 77?2<k0b??8:79K63d<3`?86=4+20:91d=i:8=1;6F=6c98m32=83.9=54:a:l152<?3A8=n65f6283>!4603?j7c<>7;;8L70e32c=>7>5$33;>0g<f;;<6l5G27`8?l06290/><655`9m641=j2B9:o54i7294?"5910>m6`=168`?M41j21b9k4?:%02<?3f3g8:;7j4H34a?>o2m3:1(??7:4c8j7702l1C>;l4;h7g>5<#:8219l5a2059b>N5>k10e8m50;&15=<2i2d9=:4>0:J12g=<a<81<7*=1986e>h59>0:=6F=6c98yg4e83:1=8o50;2x 717289i7E<86:J134=]?10iw<k5a;3;>40=?3<1=84>7;:91?732t.:;k4<cd9m65<73g8:6=5a3883?k5f291emi4?;%cf>4133gn96=5a12c94>h6>m0;7c?8e;28j77>291/>?;527d8jg7=82ci:7>5;h02b?6=3`89<7>5;h`4>5<<a;8:6=44ic;94?=nj<0;66am0;29?l45;3:17d<=2;29?ldc2900e??j:188mg>=831bn94?::kbg?6=3`hj6=44ic194?=hio0;66g=1e83>>o5:=0;66g;4;29 77?2=90b??8:198m14=83.9=54;3:l152<632c?=7>5$33;>15<f;;<6?54i5294?"5910??6`=1680?>o4n3:1(??7:518j7702=10e>k50;&15=<3;2d9=:4:;:k0`?6=,;;369=4n334>3=<a:i1<7*=19877>h59>0<76g:1;29 77?2=90b??8:998m06=83.9=54;3:l152<>32c?j7>5$33;>15<f;;<6l54i5g94?"5910??6`=168a?>o3l3:1(??7:518j7702j10e9m50;&15=<3;2d9=:4k;:k7f?6=,;;369=4n334>`=<a=k1<7*=19877>h59>0m76g;7;29 77?2=90b??8:028?l5e290/><65429m641=9810c;k50;&15=<1l2d9=:4?;:m5g?6=,;;36;j4n334>4=<g?h1<7*=1985`>h59>0976a9a;29 77?2?n0b??8:298k3?=83.9=549d:l152<332e=47>5$33;>3b<f;;<6854o7594?"5910=h6`=1685?>i1>3:1(??7:7f8j7702>10c:950;&15=<1l2d9=:47;:m42?6=,;;36;j4n334><=<g>?1<7*=1985`>h59>0j76a84;29 77?2?n0b??8:c98k25=83.9=549d:l152<d32e<>7>5$33;>3b<f;;<6i54o6394?"5910=h6`=168f?>i083:1(??7:7f8j7702o10c;h50;&15=<1l2d9=:4>0:9l20<72-8:478k;o023?7632c:hk4?:%02<?7cm2d9=:4?;:k2`a<72-8:47?ke:l152<632c:hn4?:%02<?7cm2d9=:4=;:k2`g<72-8:47?ke:l152<432c:hl4?:%02<?7cm2d9=:4;;:k2`<<72-8:47?ke:l152<232c:h54?:%02<?7cm2d9=:49;:k2`2<72-8:47?ke:l152<032c:h84?:%02<?7cm2d9=:47;:k2`1<72-8:47?ke:l152<>32c:h>4?:%02<?7cm2d9=:4n;:k2`7<72-8:47?ke:l152<e32c:h<4?:%02<?7cm2d9=:4l;:k2`5<72-8:47?ke:l152<c32c:ok4?:%02<?7cm2d9=:4j;:k2g`<72-8:47?ke:l152<a32c:oi4?:%02<?7cm2d9=:4>0:9j5fe=83.9=54>dd9m641=9810e<mn:18'64>=9mo0b??8:008?l7d13:1(??7:0ff?k46?3;876g>c983>!4603;oi6`=16820>=n9j=1<7*=1982``=i:8=1=854i0a5>5<#:821=ik4n334>40<3`;h97>5$33;>4bb3g8:;7?8;:k2g1<72-8:47?ke:l152<6021b=n=50;&15=<6ll1e><951898m4e5290/><651eg8j77028k07d?l1;29 77?28nn7c<>7;3a?>o6jo0;6)<>8;3ga>h59>0:o65f1cg94?"5910:hh5a20595a=<a8ho6=4+20:95ac<f;;<6<k4;h3ag?6=,;;36<jj;o023?7a32c:no4?:%02<?7cm2d9=:4=0:9j5gg=83.9=54>dd9m641=:810e<l6:18'64>=9mo0b??8:308?l7e03:1(??7:0ff?k46?38876g>b683>!4603;oi6`=16810>=n9k<1<7*=1982``=i:8=1>854i0g6>5<#:821=ik4n334>70<3`;n87>5$33;>4bb3g8:;7<8;:k2a6<72-8:47?ke:l152<5021b=h<50;&15=<6ll1e><952898m4c6290/><651eg8j7702;k07d?j0;29 77?28nn7c<>7;0a?>o6l?0;6)<>8;3ga>h59>09o65f1b`94?"5910:hh5a20596a=<a8i;6=4+20:95ac<f;;<6?k4;h3a1?6=,;;36<jj;o023?4a32cj97>5$33;>d2<f;;<6=54i`194?"5910j86`=1682?>of:3:1(??7:`68j7702;10el?50;&15=<f<2d9=:4<;:kb4?6=,;;36l:4n334>1=<a0l1<7*=198b0>h59>0>76g6e;29 77?2h>0b??8:798m<b=83.9=54n4:l152<032c2n7>5$33;>d2<f;;<6554i8c94?"5910j86`=168:?>o>13:1(??7:`68j7702h10e4650;&15=<f<2d9=:4m;:k:3?6=,;;36l:4n334>f=<a0<1<7*=198b0>h59>0o76g65;29 77?2h>0b??8:d98m<2=83.9=54n4:l152<a32c2?7>5$33;>d2<f;;<6<>4;h;1>5<#:821m95a205954=<a0:1<7*=198b0>h59>0:>65f8g83>!4603k?7c<>7;30?>o?m3:1(??7:`68j77028>07d6k:18'64>=i=1e><951498m=e=83.9=54n4:l152<6>21b4o4?:%02<?g33g8:;7?8;:k;e?6=,;;36l:4n334>4><3`226=4+20:9e1=i:8=1=454i9:94?"5910j86`=1682e>=n0>0;6)<>8;c7?k46?3;i76g75;29 77?2h>0b??8:0a8?l>3290/><65a59m641=9m10e5=50;&15=<f<2d9=:4>e:9j<7<72-8:47o;;o023?7a32c3=7>5$33;>d2<f;;<6?>4;h:3>5<#:821m95a205964=<a>l1<7*=198b0>h59>09>65f7d83>!4603k?7c<>7;00?>o0l3:1(??7:`68j7702;>07d9l:18'64>=i=1e><952498mdd=83.9=54n4:l152<5>21bml4?:%02<?g33g8:;7<8;:kb=?6=,;;36l:4n334>7><3`k36=4+20:9e1=i:8=1>454i`594?"5910j86`=1681e>=ni?0;6)<>8;c7?k46?38i76g6c;29 77?2h>0b??8:3a8?l?6290/><65a59m641=:m10e5850;&15=<f<2d9=:4=e:9j3g<72-8:47o;;o023?4a32e:mi4?:%02<?7fk2d9=:4?;:m2eg<72-8:47?nc:l152<632e:ml4?:%02<?7fk2d9=:4=;:m2e<<72-8:47?nc:l152<432e:m54?:%02<?7fk2d9=:4;;:m2e2<72-8:47?nc:l152<232e:m;4?:%02<?7fk2d9=:49;:m2e0<72-8:47?nc:l152<032e:m>4?:%02<?7fk2d9=:47;:m2e7<72-8:47?nc:l152<>32e:m<4?:%02<?7fk2d9=:4n;:m2e5<72-8:47?nc:l152<e32e:5k4?:%02<?7fk2d9=:4l;:m2=`<72-8:47?nc:l152<c32e:5i4?:%02<?7fk2d9=:4j;:m2=f<72-8:47?nc:l152<a32e:5o4?:%02<?7fk2d9=:4>0:9l5<g=83.9=54>ab9m641=9810c<77:18'64>=9hi0b??8:008?j7>?3:1(??7:0c`?k46?3;876a>9783>!4603;jo6`=16820>=h90?1<7*=1982ef=i:8=1=854o0;7>5<#:821=lm4n334>40<3f;2?7>5$33;>4gd3g8:;7?8;:m2=7<72-8:47?nc:l152<6021d=4?50;&15=<6ij1e><951898k4?7290/><651`a8j77028k07b?7f;29 77?28kh7c<>7;3a?>i60m0;6)<>8;3bg>h59>0:o65`19a94?"5910:mn5a20595a=<g82i6=4+20:95de<f;;<6<k4;n3;e?6=,;;36<ol;o023?7a32e:444?:%02<?7fk2d9=:4=0:9l5=>=83.9=54>ab9m641=:810c<68:18'64>=9hi0b??8:308?j7?>3:1(??7:0c`?k46?38876a>8483>!4603;jo6`=16810>=h91>1<7*=1982ef=i:8=1>854o0`0>5<#:821=lm4n334>70<3f;i>7>5$33;>4gd3g8:;7<8;:m2f4<72-8:47?nc:l152<5021d=o>50;&15=<6ij1e><952898k4ga290/><651`a8j7702;k07b?ne;29 77?28kh7c<>7;0a?>i6i=0;6)<>8;3bg>h59>09o65`18;94?"5910:mn5a20596a=<g82n6=4+20:95de<f;;<6?k4;n3;7?6=,;;36<ol;o023?4a32c:;i4?:%02<?70k2d9=:4?;:k23g<72-8:47?8c:l152<632c:;l4?:%02<?70k2d9=:4=;:k23<<72-8:47?8c:l152<432c:;54?:%02<?70k2d9=:4;;:k232<72-8:47?8c:l152<232c:;;4?:%02<?70k2d9=:49;:k230<72-8:47?8c:l152<032coh7>5$33;>ae<f;;<6=54ie`94?"5910oo6`=1682?>oci3:1(??7:ea8j7702;10ei750;&15=<ck2d9=:4<;:kg<?6=,;;36im4n334>1=<am=1<7*=198gg>h59>0>76gk6;29 77?2mi0b??8:798ma3=83.9=54kc:l152<032cn:7>5$33;>ae<f;;<6554id794?"5910oo6`=168:?>ob<3:1(??7:ea8j7702h10eh=50;&15=<ck2d9=:4m;:kf6?6=,;;36im4n334>f=<al;1<7*=198gg>h59>0o76gj0;29 77?2mi0b??8:d98ma`=83.9=54kc:l152<a32coi7>5$33;>ae<f;;<6<>4;hf7>5<#:821hn5a205954=<g;;;6=4+20:965`<f;;<6=54o32f>5<#:821>=h4n334>4=<g;:o6=4+20:965`<f;;<6?54o32`>5<#:821>=h4n334>6=<g;:i6=4+20:965`<f;;<6954o32b>5<#:821>=h4n334>0=<g;:26=4+20:965`<f;;<6;54o32;>5<#:821>=h4n334>2=<g;:=6=4+20:965`<f;;<6554o326>5<#:821>=h4n334><=<g;:?6=4+20:965`<f;;<6l54o320>5<#:821>=h4n334>g=<g;:96=4+20:965`<f;;<6n54o322>5<#:821>=h4n334>a=<g;:;6=4+20:965`<f;;<6h54o0de>5<#:821>=h4n334>c=<g8ln6=4+20:965`<f;;<6<>4;n3e`?6=,;;36?>i;o023?7632e:jo4?:%02<?47n2d9=:4>2:9l5cg=83.9=54=0g9m641=9:10c<h6:18'64>=:9l0b??8:068?j7a03:1(??7:32e?k46?3;>76a>f683>!46038;j6`=16822>=h9o<1<7*=19814c=i:8=1=:54o0d6>5<#:821>=h4n334>4><3f;m87>5$33;>76a3g8:;7?6;:m2b6<72-8:47<?f:l152<6i21d=k<50;&15=<58o1e><951c98k4`7290/><6521d8j77028i07b?jf;29 77?2;:m7c<>7;3g?>i6ml0;6)<>8;03b>h59>0:i65`1df94?"59109<k5a20595c=<g8oh6=4+20:965`<f;;<6?>4;n3ff?6=,;;36?>i;o023?4632e:il4?:%02<?47n2d9=:4=2:9l5`?=83.9=54=0g9m641=::10c<k7:18'64>=:9l0b??8:368?j7b?3:1(??7:32e?k46?38>76a=1783>!46038;j6`=16812>=h:8?1<7*=19814c=i:8=1>:54o337>5<#:821>=h4n334>7><3f8:?7>5$33;>76a3g8:;7<6;:m157<72-8:47<?f:l152<5i21d><?50;&15=<58o1e><952c98k760290/><6521d8j7702;i07b?ic;29 77?2;:m7c<>7;0g?>i6n80;6)<>8;03b>h59>09i65`1d494?"59109<k5a20596c=<a;h1<7*=1981e>h59>0;76g=9;29 77?2;k0b??8:098m7>=83.9=54=a:l152<532c9;7>5$33;>7g<f;;<6>54i3494?"59109m6`=1687?>o5=3:1(??7:3c8j7702<10e?:50;&15=<5i2d9=:49;:k17?6=,;;36?o4n334>2=<a:21<7*=1981e>h59>0376g<7;29 77?2;k0b??8:898m60=83.9=54=a:l152<f32c897>5$33;>7g<f;;<6o54i2694?"59109m6`=168`?>o4;3:1(??7:3c8j7702m10e><50;&15=<5i2d9=:4j;:k05?6=,;;36?o4n334>c=<a;o1<7*=1981e>h59>0:<65f2383>!46038j7c<>7;32?>i6><0;6)<>8;350>h59>0;76a>6283>!4603;=86`=1682?>i6>;0;6)<>8;350>h59>0976a>6083>!4603;=86`=1680?>i6>90;6)<>8;350>h59>0?76a>5g83>!4603;=86`=1686?>i6=m0;6)<>8;350>h59>0=76a>5b83>!4603;=86`=1684?>i6=k0;6)<>8;350>h59>0376a>5`83>!4603;=86`=168:?>i6=00;6)<>8;350>h59>0j76a>5983>!4603;=86`=168a?>i6=>0;6)<>8;350>h59>0h76a>5783>!4603;=86`=168g?>i6=<0;6)<>8;350>h59>0n76a>5583>!4603;=86`=168e?>i6=;0;6)<>8;350>h59>0:<65`14394?"5910::95a205954=<g8?;6=4+20:9532<f;;<6<<4;n37b?6=,;;36<8;;o023?7432e:8h4?:%02<?71<2d9=:4>4:9l51b=83.9=54>659m641=9<10c<:l:18'64>=9?>0b??8:048?j73j3:1(??7:047?k46?3;<76a>4`83>!4603;=86`=1682<>=h9=31<7*=198221=i:8=1=454o04`>5<#:821=;:4n334>4g<3f;=n7>5$33;>4033g8:;7?m;:m22d<72-8:47?94:l152<6k21d=;750;&15=<6>=1e><951e98k40?290/><651768j77028o07b?97;29 77?28<?7c<>7;3e?>i6>?0;6)<>8;350>h59>09<65`14g94?"5910::95a205964=<g8?86=4+20:9532<f;;<6?<4;n37<?6=,;;36<8;;o023?4432c>n7>5$33;>0g<f;;<6=5G27`8?l3>290/><655`9m641=92B9:o54i4:94?"5910>m6`=1681?M41j21b9:4?:%02<?3f3g8:;7=4H34a?>o2>3:1(??7:4c8j7702=1C>;l4;h76>5<#:8219l5a20591>N5>k10e8:50;&15=<2i2d9=:49;I05f>=n=:0;6)<>8;7b?k46?3=0D?8m;:k50?6=,;;368o4n334>==O:?h07d8<:18'64>==h1e><959:J12g=<a?81<7*=1986e>h59>0j7E<9b:9j24<72-8:47;n;o023?d<@;<i76g90;29 77?2<k0b??8:b9K63d<3`?m6=4+20:91d=i:8=1h6F=6c98m0c=83.9=54:a:l152<b3A8=n65f5e83>!4603?j7c<>7;d8L70e32c>o7>5$33;>0g<f;;<6<>4H34a?>o2:3:1(??7:4c8j77028;0D?8m;:a6g7=838<6=4>2zJ134=#:>:1?n?4Z6:96~?=j3wbj>4?:%02<?`53g8:;7>4;hd2>5<#:821j?5a20595>=nmo0;6)<>8;d1?k46?3807dkj:18'64>=n;1e><953:9jaa<72-8:47h=;o023?2<3`oh6=4+20:9b7=i:8=1965fec83>!4603l97c<>7;48?lcf290/><65f39m641=?21b=<>50;&15=<a:2d9=:47;:k24c<72-8:47h=;o023??<3`;;i7>5$33;>c4<f;;<6l54i02g>5<#:821j?5a2059f>=n99i1<7*=198e6>h59>0h76g>0c83>!4603l97c<>7;f8?l77i3:1(??7:g08j7702l10e<>>:18'64>=n;1e><95f:9jb3<72-8:47h=;o023?7732cn57>5$33;>c4<f;;<6<?4;h`g>5<<ak81<75f27:94?=h98i1<7*=19825g=i:8=1<65`10c94?"5910:=o5a20595>=h9821<7*=19825g=i:8=1>65`10594?"5910:=o5a20597>=h98<1<7*=19825g=i:8=1865`10794?"5910:=o5a20591>=h98>1<7*=19825g=i:8=1:65`10194?"5910:=o5a20593>=h9:31<7*=19825g=i:8=1465`12:94?"5910:=o5a2059=>=h9:=1<7*=19825g=i:8=1m65`12494?"5910:=o5a2059f>=h9:?1<7*=19825g=i:8=1o65`12694?"5910:=o5a2059`>=h9:91<7*=19825g=i:8=1i65`13c94?"5910:=o5a2059b>=h98l1<7*=19825g=i:8=1==54o031>5<#:821=<l4n334>47<3z<m47>52z\e7>X69j1v;h6:181[`63W;:m6s|6gc94?4|Vll0R<?7;|q5bg<72;qUih5Q1058yv0ak3:1>vPjd:\253=z{?lo6=4={_g`?[76=2wx:kk50;0xZ`d<V8;?7p}9fg83>7}Ymh1U=<=4}r534?6=:rT:==5Q12;8yv1793:1>vP>0g9]56><uz=;>7>52z\24`=Y9:=0q~9?3;296~X68m1U=>84}r530?6=:rT:<n5Q1278yv17=3:1>vP>0c9]562<uz=;:7>52z\24d=Y9:90q~9?7;296~X6881U=?o4}r53<?6=:rTm:6P>1g9~w26>2909wSk6;_326>{zj;h96=4=7;2957}O:>;0(?9?:2a2?_1?2;q26o4rig194?"5910m>6`=1683?>oa93:1(??7:g08j7702810ehh50;&15=<a:2d9=:4=;:kfa?6=,;;36k<4n334>6=<aln1<7*=198e6>h59>0?76gjc;29 77?2o80b??8:498m`d=83.9=54i2:l152<132cnm7>5$33;>c4<f;;<6:54i033>5<#:821j?5a2059<>=n99l1<7*=198e6>h59>0276g>0d83>!4603l97c<>7;c8?l77l3:1(??7:g08j7702k10e<>l:18'64>=n;1e><95c:9j55d=83.9=54i2:l152<c32c:<l4?:%02<?`53g8:;7k4;h335?6=,;;36k<4n334>c=<ao<1<7*=198e6>h59>0:<65fe883>!4603l97c<>7;32?>oel3:17dl=:188m70?2900c<?l:18'64>=98h0b??8:198k47f290/><6510`8j7702810c<?7:18'64>=98h0b??8:398k470290/><6510`8j7702:10c<?9:18'64>=98h0b??8:598k472290/><6510`8j7702<10c<?;:18'64>=98h0b??8:798k474290/><6510`8j7702>10c<=6:18'64>=98h0b??8:998k45?290/><6510`8j7702010c<=8:18'64>=98h0b??8:`98k451290/><6510`8j7702k10c<=::18'64>=98h0b??8:b98k453290/><6510`8j7702m10c<=<:18'64>=98h0b??8:d98k44f290/><6510`8j7702o10c<?i:18'64>=98h0b??8:028?j76:3:1(??7:03a?k46?3;:76}80`83>7}Yn:1U=<m4}r53f?6=:rTm=6P>1`9~w26d2909wSki;_32<>{t?9n1<7<t^dg8Z4703ty<<h4?:3y]aa=Y98<0q~9?f;296~Xbk2T:=85rs633>5<5sWoi7S?>4:p347=838pRho4^030?xu09;0;6?uQ1028Z45>3ty<=>4?:3y]55`<V8937p}81583>7}Y99o0R<=8;|q450<72;qU==j4^015?xu09?0;6?uQ11a8Z4523ty<=:4?:3y]55d<V89?7p}81983>7}Y99k0R<=<;|q45<<72;qU==?4^00b?xu09h0;6?uQf79]54`<uz=:n7>52z\f=>X69;1vqo<m3;2962<7288pD?9>;%044?5d92P<47<t9;`9yl`4290/><65f39m641=821bj<4?:%02<?`53g8:;7?4;hge>5<#:821j?5a20596>=nml0;6)<>8;d1?k46?3907dkk:18'64>=n;1e><954:9jaf<72-8:47h=;o023?3<3`oi6=4+20:9b7=i:8=1:65fe`83>!4603l97c<>7;58?l7683:1(??7:g08j7702110e<>i:18'64>=n;1e><959:9j55c=83.9=54i2:l152<f32c:<i4?:%02<?`53g8:;7l4;h33g?6=,;;36k<4n334>f=<a8:i6=4+20:9b7=i:8=1h65f11c94?"5910m>6`=168f?>o6880;6)<>8;d1?k46?3l07dh9:18'64>=n;1e><951198m`?=83.9=54i2:l152<6921bni4?::ka6?6=3`8=47>5;n32g?6=,;;36<?m;o023?6<3f;:m7>5$33;>47e3g8:;7?4;n32<?6=,;;36<?m;o023?4<3f;:;7>5$33;>47e3g8:;7=4;n322?6=,;;36<?m;o023?2<3f;:97>5$33;>47e3g8:;7;4;n320?6=,;;36<?m;o023?0<3f;:?7>5$33;>47e3g8:;794;n30=?6=,;;36<?m;o023?><3f;847>5$33;>47e3g8:;774;n303?6=,;;36<?m;o023?g<3f;8:7>5$33;>47e3g8:;7l4;n301?6=,;;36<?m;o023?e<3f;887>5$33;>47e3g8:;7j4;n307?6=,;;36<?m;o023?c<3f;9m7>5$33;>47e3g8:;7h4;n32b?6=,;;36<?m;o023?7732e:=?4?:%02<?76j2d9=:4>1:9p34e=838pRk=4^03`?xu09m0;6?uQf09]54g<uz=:i7>52z\fb>X6911v:?i:181[cb3W;:;6s|73294?4|Vln0R<?9;|q464<72;qUin5Q1078yv15:3:1>vPjb:\251=z{>886=4={_gb?[76;2wx;?:50;0xZ4773W;856s|73794?4|V8:m7S?<8:p370=838pR<>j;_303>{t?;=1<7<t^02g?[74>2wx;?650;0xZ46d3W;896s|73;94?4|V8:i7S?<4:p37g=838pR<>n;_307>{t?;h1<7<t^022?[75i2wx;?m50;0xZc0<V8;m7p}82e83>7}Ym01U=<<4}|`1f1<72;=1<7?={I045>"5?908o<5U7981<<e2tcm?7>5$33;>c4<f;;<6=54ig394?"5910m>6`=1682?>obn3:1(??7:g08j7702;10ehk50;&15=<a:2d9=:4<;:kf`?6=,;;36k<4n334>1=<ali1<7*=198e6>h59>0>76gjb;29 77?2o80b??8:798m`g=83.9=54i2:l152<032c:==4?:%02<?`53g8:;764;h33b?6=,;;36k<4n334><=<a8:n6=4+20:9b7=i:8=1m65f11f94?"5910m>6`=168a?>o68j0;6)<>8;d1?k46?3i07d??b;29 77?2o80b??8:e98m46f290/><65f39m641=m21b==?50;&15=<a:2d9=:4i;:ke2?6=,;;36k<4n334>46<3`o26=4+20:9b7=i:8=1=<54icf94?=nj;0;66g=6983>>i69j0;6)<>8;32f>h59>0;76a>1`83>!4603;:n6`=1682?>i6910;6)<>8;32f>h59>0976a>1683>!4603;:n6`=1680?>i69?0;6)<>8;32f>h59>0?76a>1483>!4603;:n6`=1686?>i69=0;6)<>8;32f>h59>0=76a>1283>!4603;:n6`=1684?>i6;00;6)<>8;32f>h59>0376a>3983>!4603;:n6`=168:?>i6;>0;6)<>8;32f>h59>0j76a>3783>!4603;:n6`=168a?>i6;<0;6)<>8;32f>h59>0h76a>3583>!4603;:n6`=168g?>i6;:0;6)<>8;32f>h59>0n76a>2`83>!4603;:n6`=168e?>i69o0;6)<>8;32f>h59>0:<65`10094?"5910:=o5a205954=<{>8n6=4={_d0?[76k2wx;?h50;0xZc7<V8;j7p}83183>7}Ymo1U=<64}r505?6=:rTni6P>169~w2552909wSkk;_322>{t?:91<7<t^da8Z4723ty<?94?:3y]ag=Y98>0q~9<5;296~Xbi2T:=>5rs615>5<5sW;:<6P>389~w2502909wS??f:\27==z{>936=4={_33a>X6;>1v:=6:181[77l2T:?;5rs61b>5<5sW;;o6P>349~w25e2909wS??b:\271=z{>9h6=4={_33e>X6;:1v:=k:181[7792T:>l5rs61f>5<5sWl=7S?>f:p36`=838pRh74^031?x{e:k?1<7l50;6xL7163-8<<7=l2:X4<?4|900:m7sf11c94?"5910:<45a20594>=n99;1<7*=19824<=i:8=1=65ff783>!4603;;56`=1681?>ob13:1(??7:02:?k46?3907dlk:188mg4=831b>;650;9l565=83.9=54>339m641=821d=?o50;&15=<6;;1e><951:9l54`=83.9=54>339m641=:21d=<<50;&15=<6;;1e><953:9p316=838pR<>n;_307>{t?=;1<7<t^022?[75i2wx;9<50;0xZc0<V8;m7p}84283>7}Ym01U=<<4}|`1f3<72k0;69uG2638 7172:i97W97:3y2=?7f2tc:<l4?:%02<?7712d9=:4?;:k244<72-8:47??9:l152<632cm:7>5$33;>46>3g8:;7<4;hg:>5<#:821==74n334>6=<akn1<75fb383>>o5>10;66a>3283>!4603;8>6`=1683?>i6:h0;6)<>8;306>h59>0:76a>1g83>!4603;8>6`=1681?>i69;0;6)<>8;306>h59>0876}84583>7}Y99k0R<=<;|q400<72;qU==?4^00b?xu0<?0;6?uQf79]54`<uz=?;7>52z\f=>X69;1vqo<m7;291?6=9rB9;<5+26297f5<R>21>vm5d;ja<<72-8:47k7;o023?6<3`ho6=44ic094?=n:?21<75`10094?"5910:=<5a20594>=t?=21<7<t^d;8Z4753twi>o650;794?7|@;=:7)<80;1`7>\0038po7j5}hg:>5<#:821i55a20594>=njm0;66gm2;29?l4103:17b?>2;29 77?28;:7c<>7;28?v1313:1>vPj9:\257=zuk8i57>55;295~N5?81/>:>53b18^2>=:ri1h7sfe883>!4603o37c<>7;28?ldc2900eo<50;9j63>=831d=<<50;&15=<6981e><950:9p31g=838pRh74^031?x{e:kk1<7<::1825~N5?81/>:>53b68^2>=:r9187sff083>!4603l;7c<>7;28?lca290/><65f19m641=921bih4?:%02<?`73g8:;7<4;hgg>5<#:821j=5a20597>=nmj0;6)<>8;d3?k46?3>07dkm:18'64>=n91e><955:9jad<72-8:47h?;o023?0<3`;:<7>5$33;>c6<f;;<6:54i02e>5<#:821j=5a2059<>=n99o1<7*=198e4>h59>0276g>0e83>!4603l;7c<>7;c8?l77k3:1(??7:g28j7702k10e<>m:18'64>=n91e><95c:9j55g=83.9=54i0:l152<c32c:<<4?:%02<?`73g8:;7k4;hd5>5<#:821j=5a2059b>=nm00;6)<>8;d3?k46?3;;76gmd;29?ld52900e?87:188k47f290/><6510;8j7702910c<?7:18'64>=9830b??8:098k470290/><6510;8j7702;10c<?9:18'64>=9830b??8:298k472290/><6510;8j7702=10c<?;:18'64>=9830b??8:498k474290/><6510;8j7702?10c<=6:18'64>=9830b??8:698k45?290/><6510;8j7702110c<=8:18'64>=9830b??8:898k451290/><6510;8j7702h10c<=::18'64>=9830b??8:c98k453290/><6510;8j7702j10c<=<:18'64>=9830b??8:e98k44f290/><6510;8j7702l10c<?i:18'64>=9830b??8:g98k475290/><6510;8j77028:07~9;b;296~Xa92T:=l5rs66`>5<5sWom7S?>8:p31b=838pRhk4^034?xu0<l0;6?uQee9]540<uz=?j7>52z\fg>X69<1v:;?:181[ce3W;:86s|74394?4|Vlk0R<?<;|q417<72;qU=<>4^01:?xu0=:0;6?uQ11d8Z45?3ty<994?:3y]55c<V89<7p}85483>7}Y99n0R<=9;|q413<72;qU==m4^016?xu0=>0;6?uQ11`8Z4533ty<954?:3y]55g<V8987p}85883>7}Y99;0R<<n;|q41d<72;qUj;5Q10d8yv12j3:1>vPj9:\257=zuk8in7>52483>47|@;=:7)<80;1`0>\0038p?7:5}hd2>5<#:821j=5a20594>=nmo0;6)<>8;d3?k46?3;07dkj:18'64>=n91e><952:9jaa<72-8:47h?;o023?5<3`oh6=4+20:9b5=i:8=1865fec83>!4603l;7c<>7;78?lcf290/><65f19m641=>21b=<>50;&15=<a82d9=:48;:k24c<72-8:47h?;o023?><3`;;i7>5$33;>c6<f;;<6454i02g>5<#:821j=5a2059e>=n99i1<7*=198e4>h59>0i76g>0c83>!4603l;7c<>7;a8?l77i3:1(??7:g28j7702m10e<>>:18'64>=n91e><95e:9jb3<72-8:47h?;o023?`<3`o26=4+20:9b5=i:8=1==54icf94?=nj;0;66g=6983>>i69h0;6)<>8;32=>h59>0;76a>1983>!4603;:56`=1682?>i69>0;6)<>8;32=>h59>0976a>1783>!4603;:56`=1680?>i69<0;6)<>8;32=>h59>0?76a>1583>!4603;:56`=1686?>i69:0;6)<>8;32=>h59>0=76a>3883>!4603;:56`=1684?>i6;10;6)<>8;32=>h59>0376a>3683>!4603;:56`=168:?>i6;?0;6)<>8;32=>h59>0j76a>3483>!4603;:56`=168a?>i6;=0;6)<>8;32=>h59>0h76a>3283>!4603;:56`=168g?>i6:h0;6)<>8;32=>h59>0n76a>1g83>!4603;:56`=168e?>i69;0;6)<>8;32=>h59>0:<65|74a94?4|Vo;0R<?n;|q41a<72;qUik5Q10:8yv12m3:1>vPje:\252=z{>?m6=4={_gg?[76>2wx;;>50;0xZ`e<V8;>7p}86083>7}Ymk1U=<:4}r556?6=:rTnm6P>129~w2042909wS?>0:\27<=z{><?6=4={_33b>X6;11v:8::181[77m2T:?:5rs645>5<5sW;;h6P>379~w2002909wS??c:\270=z{><36=4={_33f>X6;=1v:86:181[77i2T:?>5rs64b>5<5sW;;=6P>2`9~w20e2909wSh9;_32b>{t??i1<7<t^d;8Z4753twi>om50;6a>5<5<rB9;<5+26297f3<R>21>v?=:019yl7703:1(??7:024?k46?3:07d??6;29 77?28:<7c<>7;38?l77=3:1(??7:024?k46?3807d??4;29 77?28:<7c<>7;18?l77;3:1(??7:024?k46?3>07d??2;29 77?28:<7c<>7;78?l7783:1(??7:024?k46?3<07dhi:18'64>=99=0b??8:698mcc=83.9=54>069m641=021bji4?:%02<?77?2d9=:46;:keg?6=,;;36<>8;o023?g<3`li6=4+20:9551<f;;<6o54igc94?"5910:<:5a2059g>=nn00;6)<>8;333>h59>0o76gi8;29 77?28:<7c<>7;g8?l`0290/><651158j7702o10ek;50;&15=<68>1e><951198mc2=83.9=54>069m641=9810ek=50;&15=<68>1e><951398mc7=83.9=54>069m641=9:10ehh50;&15=<68>1e><951598m`c=83.9=54>069m641=9<10ehj50;&15=<68>1e><951798m`e=83.9=54>069m641=9>10ehl50;&15=<68>1e><951998m`g=83.9=54>069m641=9010e<??:18'64>=99=0b??8:0c8?l77n3:1(??7:024?k46?3;i76g>0d83>!4603;;;6`=1682g>=n99n1<7*=198242=i:8=1=i54i02`>5<#:821==94n334>4c<3`;;n7>5$33;>4603g8:;7?i;:k24d<72-8:47??7:l152<5821b==?50;&15=<68>1e><952098mc0=83.9=54>069m641=:;10eh750;&15=<68>1e><952298mgb=831bn?4?::k12=<722e:?<4?:%02<?7482d9=:4?;:m26c<72-8:47?<0:l152<632e:>h4?:%02<?7482d9=:4=;:m26a<72-8:47?<0:l152<432e:>n4?:%02<?7482d9=:4;;:m26g<72-8:47?<0:l152<232e:>44?:%02<?7482d9=:49;:m26=<72-8:47?<0:l152<032e:>:4?:%02<?7482d9=:47;:m263<72-8:47?<0:l152<>32e:>84?:%02<?7482d9=:4n;:m261<72-8:47?<0:l152<e32e:>>4?:%02<?7482d9=:4l;:m267<72-8:47?<0:l152<c32e:><4?:%02<?7482d9=:4j;:m265<72-8:47?<0:l152<a32e:=h4?:%02<?7482d9=:4>0:9l54b=83.9=54>319m641=9810c<?l:18'64>=9::0b??8:008?j76i3:1(??7:013?k46?3;876a>1983>!4603;8<6`=16820>=h98=1<7*=198275=i:8=1=854o035>5<#:821=>>4n334>40<3f;:97>5$33;>4573g8:;7?8;:m251<72-8:47?<0:l152<6021d=<=50;&15=<6;91e><951898k45>290/><651228j77028k07b?<8;29 77?289;7c<>7;3a?>i6;>0;6)<>8;304>h59>0:o65`12494?"5910:?=5a20595a=<g89>6=4+20:9566<f;;<6<k4;n300?6=,;;36<=?;o023?7a32e:?>4?:%02<?7482d9=:4=0:9l57g=83.9=54>319m641=:810c<?i:18'64>=9::0b??8:308?j76:3:1(??7:013?k46?38876}86e83>7}Y9920R<=>;|q42`<72;qU==84^00e?xu0>o0;6?uQ1178Z44b3ty<;=4?:3y]552<V88o7p}87083>7}Y9990R<<l;|q437<72;qU==<4^00a?xu0?:0;6?uQ1128Z44>3ty<;94?:3y]bc=Y9;20q~985;296~Xam2T:>:5rs655>5<5sWlo7S?=6:p321=838pRkm4^006?xu0?10;6?uQfc9]572<uz=<57>52z\ee>X6::1v:9n:181[`>3W;9>6s|76`94?4|Vo20R<<>;|q43f<72;qUj:5Q1328yv10l3:1>vPi5:\25`=z{>=n6=4={_d7?[76l2wx;:h50;0xZc5<V8;h7p}88183>7}Yn81U=<o4}r5;5?6=:rTnj6P>199~w2>52909wSkj;_323>{t?191<7<t^df8Z4713ty<494?:3y]af=Y98?0q~975;296~Xbj2T:=95rs6:5>5<5sWoj7S?>3:p3=1=838pR<??;_30=>{t?121<7<t^02e?[7402wx;5750;0xZ46b3W;8;6s|79c94?4|V8:o7S?<6:p3=d=838pR<>l;_301>{t?1i1<7<t^02a?[74<2wx;5j50;0xZ46f3W;8?6s|79g94?4|V8::7S?=a:p3=`=838pRk84^03e?xu0190;6?uQe89]544<uth9ni4?:283>5}#:>:1>:;4H355?M4092cio7>5;h377?6=3f;<?7>5;|`1f`<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th9nk4?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk8h<7>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`1g4<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th9o?4?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk8h?7>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`1g1<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th9o84?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk8h:7>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`1g2<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th9o54?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk8h57>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`1gd<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th9oo4?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk8ho7>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`1ga<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th9oh4?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk8hj7>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`1`5<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th9h<4?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk8o>7>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`1`6<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th9h94?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk8o97>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`1`3<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th9h:4?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk8o47>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`1`<<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th9hl4?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk8on7>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`1`f<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th9hi4?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk8oi7>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`1`c<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th9i=4?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk8n=7>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`1a7<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th9i>4?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk8n87>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`1a0<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th9i;4?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk8n;7>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`1a=<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th9i44?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk8nm7>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`1ag<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th9in4?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk8nh7>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`1a`<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th9ik4?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk8m<7>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`1b4<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th9j?4?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk8m?7>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`1b1<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th9j84?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk8m:7>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`1b2<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th9j54?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk8m57>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`1bd<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th9jo4?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk8mo7>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`1ba<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th9jh4?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk8mj7>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`045<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th8<<4?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk9;>7>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`046<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th8<94?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk9;97>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`043<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th8<:4?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk9;47>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`04<<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th8<l4?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk9;n7>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`04f<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th8<i4?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk9;i7>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`04c<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th8==4?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk9:=7>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`057<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th8=>4?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk9:87>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`050<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th8=;4?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk9:;7>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`05=<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th8=44?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk9:m7>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`05g<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th8=n4?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk9:h7>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`05`<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th8=k4?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk99<7>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`064<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th8>?4?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk99?7>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`061<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th8>84?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk99:7>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`062<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th8>54?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk9957>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`06d<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th8>o4?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk99o7>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`06a<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th8>h4?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk99j7>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`075<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th8?<4?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk98>7>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`076<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th8?94?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk9897>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`073<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th8?:4?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk9847>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`07<<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th8?l4?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk98n7>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`07f<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th8?i4?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk98i7>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`07c<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th88=4?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk9?=7>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`007<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th88>4?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk9?87>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`000<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th88;4?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk9?;7>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`00=<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th8844?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk9?m7>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`00g<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th88n4?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk9?h7>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`00`<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th88k4?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk9><7>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`014<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th89?4?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk9>?7>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`011<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th8984?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk9>:7>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`012<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th8954?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk9>57>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`01d<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th89o4?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk9>o7>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`01a<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th89h4?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk9>j7>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`025<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th8:<4?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk9=>7>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`026<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th8:94?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk9=97>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`023<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th8::4?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk9=47>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`02<<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th8:l4?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk9=n7>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`02f<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th8:i4?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk9=i7>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`02c<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th8;=4?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk9<=7>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`037<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th8;>4?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk9<87>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`030<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th8;;4?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk9<;7>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`03=<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th8;44?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk9<m7>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`03g<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th8;n4?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk9<h7>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`03`<72<0;6=u+262956e<@;==7E<81:&207<53`=j6=44ic094?=nl:0;66g=1b83>>i59k0;66sm36d94?3=83:p(?9?:01`?M40>2B9;<5+15096>o0i3:17dl=:188ma5=831b><m50;9l64d=831vn>6?:186>5<7s-8<<7?<c:J133=O:>;0(<:=:39j3d<722ci>7>5;hf0>5<<a;;h6=44o33a>5<<uk93=7>55;294~"5?90:?n5G2648L7163-;?>7<4i6c94?=nj;0;66gk3;29?l46k3:17b<>b;29?xd40;0;684?:1y'626=9:i0D?99;I045>"6<;097d9n:188mg4=831bh>4?::k15f<722e9=o4?::a7=5=83?1<7>t$353>45d3A8<:6F=709'514=:2c<m7>5;h`1>5<<am91<75f20a94?=h:8h1<75rb2:7>5<2290;w)<80;30g>N5??1C>:?4$061>7=n?h0;66gm2;29?lb42900e??l:188k77e2900qo=75;291?6=8r.9;=4>3b9K620<@;=:7)?;2;08m2g=831bn?4?::kg7?6=3`8:o7>5;n02f?6=3th84;4?:483>5}#:>:1=>m4H355?M4092.:8?4=;h5b>5<<ak81<75fd283>>o59j0;66a=1c83>>{e;1=1<7;50;2x 717289h7E<86:J134=#9=81>6g8a;29?ld52900ei=50;9j64e=831d><l50;9~f6>?290>6=4?{%044?74k2B9;;5G2638 4252;1b;l4?::ka6?6=3`n86=44i33`>5<<g;;i6=44}c1;=?6==3:1<v*=71827f=O:><0D?9>;%376?4<a>k1<75fb383>>oc;3:17d<>c;29?j46j3:17pl<8`83>0<729q/>:>512a8L7113A8<=6*>4381?l1f2900eo<50;9j`6<722c9=n4?::m15g<722wi?5l50;794?6|,;=;6<=l;I042>N5?81/=9<52:k4e?6=3`h96=44ie194?=n:8i1<75`20`94?=zj:2h6=4::183!4083;8o6F=779K627<,8>96?5f7`83>>oe:3:17dj<:188m77d2900c??m:188yg5?l3:197>50z&135<6;j1C>:84H352?!73:380e:o50;9jf7<722co?7>5;h02g?6=3f8:n7>5;|`0<`<72<0;6=u+262956e<@;==7E<81:&207<53`=j6=44ic094?=nl:0;66g=1b83>>i59k0;66sm39d94?3=83:p(?9?:01`?M40>2B9;<5+15096>o0i3:17dl=:188ma5=831b><m50;9l64d=831vn>7?:186>5<7s-8<<7?<c:J133=O:>;0(<:=:39j3d<722ci>7>5;hf0>5<<a;;h6=44o33a>5<<uk92=7>55;294~"5?90:?n5G2648L7163-;?>7<4i6c94?=nj;0;66gk3;29?l46k3:17b<>b;29?xd41;0;684?:1y'626=9:i0D?99;I045>"6<;097d9n:188mg4=831bh>4?::k15f<722e9=o4?::a7<5=83?1<7>t$353>45d3A8<:6F=709'514=:2c<m7>5;h`1>5<<am91<75f20a94?=h:8h1<75rb2;7>5<2290;w)<80;30g>N5??1C>:?4$061>7=n?h0;66gm2;29?lb42900e??l:188k77e2900qo=65;291?6=8r.9;=4>3b9K620<@;=:7)?;2;08m2g=831bn?4?::kg7?6=3`8:o7>5;n02f?6=3th85;4?:483>5}#:>:1=>m4H355?M4092.:8?4=;h5b>5<<ak81<75fd283>>o59j0;66a=1c83>>{e;0=1<7;50;2x 717289h7E<86:J134=#9=81>6g8a;29?ld52900ei=50;9j64e=831d><l50;9~f6??290>6=4?{%044?74k2B9;;5G2638 4252;1b;l4?::ka6?6=3`n86=44i33`>5<<g;;i6=44}c1:=?6==3:1<v*=71827f=O:><0D?9>;%376?4<a>k1<75fb383>>oc;3:17d<>c;29?j46j3:17pl<9`83>0<729q/>:>512a8L7113A8<=6*>4381?l1f2900eo<50;9j`6<722c9=n4?::m15g<722wi?4l50;794?6|,;=;6<=l;I042>N5?81/=9<52:k4e?6=3`h96=44ie194?=n:8i1<75`20`94?=zj:3h6=4::183!4083;8o6F=779K627<,8>96?5f7`83>>oe:3:17dj<:188m77d2900c??m:188yg5>l3:197>50z&135<6;j1C>:84H352?!73:380e:o50;9jf7<722co?7>5;h02g?6=3f8:n7>5;|`0=`<72<0;6=u+262956e<@;==7E<81:&207<53`=j6=44ic094?=nl:0;66g=1b83>>i59k0;66sm38d94?3=83:p(?9?:01`?M40>2B9;<5+15096>o0i3:17dl=:188ma5=831b><m50;9l64d=831vn>o?:186>5<7s-8<<7?<c:J133=O:>;0(<:=:39j3d<722ci>7>5;hf0>5<<a;;h6=44o33a>5<<uk9j=7>55;294~"5?90:?n5G2648L7163-;?>7<4i6c94?=nj;0;66gk3;29?l46k3:17b<>b;29?xd4i;0;684?:1y'626=9:i0D?99;I045>"6<;097d9n:188mg4=831bh>4?::k15f<722e9=o4?::a7d5=83?1<7>t$353>45d3A8<:6F=709'514=:2c<m7>5;h`1>5<<am91<75f20a94?=h:8h1<75rb2c7>5<2290;w)<80;30g>N5??1C>:?4$061>7=n?h0;66gm2;29?lb42900e??l:188k77e2900qo=n5;291?6=8r.9;=4>3b9K620<@;=:7)?;2;08m2g=831bn?4?::kg7?6=3`8:o7>5;n02f?6=3th8m;4?:483>5}#:>:1=>m4H355?M4092.:8?4=;h5b>5<<ak81<75fd283>>o59j0;66a=1c83>>{e;h=1<7;50;2x 717289h7E<86:J134=#9=81>6g8a;29?ld52900ei=50;9j64e=831d><l50;9~f6g?290>6=4?{%044?74k2B9;;5G2638 4252;1b;l4?::ka6?6=3`n86=44i33`>5<<g;;i6=44}c1b=?6==3:1<v*=71827f=O:><0D?9>;%376?4<a>k1<75fb383>>oc;3:17d<>c;29?j46j3:17pl<a`83>0<729q/>:>512a8L7113A8<=6*>4381?l1f2900eo<50;9j`6<722c9=n4?::m15g<722wi?ll50;794?6|,;=;6<=l;I042>N5?81/=9<52:k4e?6=3`h96=44ie194?=n:8i1<75`20`94?=zj:kh6=4::183!4083;8o6F=779K627<,8>96?5f7`83>>oe:3:17dj<:188m77d2900c??m:188yg5fl3:197>50z&135<6;j1C>:84H352?!73:380e:o50;9jf7<722co?7>5;h02g?6=3f8:n7>5;|`0e`<72<0;6=u+262956e<@;==7E<81:&207<53`=j6=44ic094?=nl:0;66g=1b83>>i59k0;66sm3`d94?3=83:p(?9?:01`?M40>2B9;<5+15096>o0i3:17dl=:188ma5=831b><m50;9l64d=831vn>l?:186>5<7s-8<<7?<c:J133=O:>;0(<:=:39j3d<722ci>7>5;hf0>5<<a;;h6=44o33a>5<<uk9i=7>55;294~"5?90:?n5G2648L7163-;?>7<4i6c94?=nj;0;66gk3;29?l46k3:17b<>b;29?xd4j;0;684?:1y'626=9:i0D?99;I045>"6<;097d9n:188mg4=831bh>4?::k15f<722e9=o4?::a7g5=83?1<7>t$353>45d3A8<:6F=709'514=:2c<m7>5;h`1>5<<am91<75f20a94?=h:8h1<75rb2`7>5<2290;w)<80;30g>N5??1C>:?4$061>7=n?h0;66gm2;29?lb42900e??l:188k77e2900qo=m5;291?6=8r.9;=4>3b9K620<@;=:7)?;2;08m2g=831bn?4?::kg7?6=3`8:o7>5;n02f?6=3th8n;4?:483>5}#:>:1=>m4H355?M4092.:8?4=;h5b>5<<ak81<75fd283>>o59j0;66a=1c83>>{e;k=1<7;50;2x 717289h7E<86:J134=#9=81>6g8a;29?ld52900ei=50;9j64e=831d><l50;9~f6d?290>6=4?{%044?74k2B9;;5G2638 4252;1b;l4?::ka6?6=3`n86=44i33`>5<<g;;i6=44}c1a=?6==3:1<v*=71827f=O:><0D?9>;%376?4<a>k1<75fb383>>oc;3:17d<>c;29?j46j3:17pl<b`83>0<729q/>:>512a8L7113A8<=6*>4381?l1f2900eo<50;9j`6<722c9=n4?::m15g<722wi?ol50;794?6|,;=;6<=l;I042>N5?81/=9<52:k4e?6=3`h96=44ie194?=n:8i1<75`20`94?=zj:hh6=4::183!4083;8o6F=779K627<,8>96?5f7`83>>oe:3:17dj<:188m77d2900c??m:188yg5el3:197>50z&135<6;j1C>:84H352?!73:380e:o50;9jf7<722co?7>5;h02g?6=3f8:n7>5;|`0f`<72<0;6=u+262956e<@;==7E<81:&207<53`=j6=44ic094?=nl:0;66g=1b83>>i59k0;66s|40094?5|V::01?l::02b?84e>3;;m6s|40194?5|V;n01?l::022?84e>3;;=6s|40694?5|V;i01?l::g4897d12o<0q~:>5;297~X5j279n84j9:?1f3<b12wx8<850;1xZ7?<5;h86k?4=3`7>c7<uz>:;7>53z\1<>;5j:0nj63=b58fb>{t<821<7=t^35897d42lo01?l;:dg8yv2613:1?vP=6:?1f6<bl279n94jd:p04g=839pR?;4=3`0>`e<5;h?6hm4}r62f?6=;rT9863=b28ff>;5j=0nn6s|40a94?5|V;901?l<:dc897d32lk0q~:>d;297~X40279n>4>119>6g2=98:0q~:>e;297~X4?279n>4>0g9>6g2=99l0q~:>f;297~X4>279n>4>0d9>6g2=99o0q~:=0;297~X4=279n>4>0e9>6g2=99n0q~:=1;297~X4<279n>4>0b9>6g2=99i0q~:=2;297~X4;279n>4>0c9>6g2=99h0q~:=3;297~X4:279n>4>0`9>6g2=99k0q~:=4;297~X49279n>4>009>6g2=99;0q~:=5;297~X5m279n>4i6:?1f1<a>2wx8?850;1xZ74<5;h86h74=3`7>`?<uz>9;7>511y]0<=::k;1j>522c39b4=::k;1ik522c39a`=::k;1ii522c39af=::k;1io522c39ad=::k;1=<>4=3`2>46a348i=7??e:?1f4<68m16>o?511a897d628:i70<m1;33e>{t<;21<7<t^54897d628::7p};2883>7}Y<<16>o?5f79~w14f2909wS:;;<0a5?c>3ty?>o4?:3y]07=::k81j<5rs50`>5<5sW>:70<m2;ge?xu3:m0;6?uQ419>6g4=ml1v9<j:181[5a348i>7kk;|q76c<72;qU?h522c09af=z{=9;6=4={_1g?84e:3oi7p};3083>7}Y;j16>o<5e`9~w1552909wS;>;<0a6?7682wx8>=50;0xZ06<5;h96<>i;|q771<72;qU8k522c0955c<uz>897>52z\7a>;5j;0:<i5rs515>5<5sW>o70<m2;33g>{t<:=1<7<t^5a897d528:i7p};3983>7}Y<k16>o<511c8yv2413:1>vP;a:?1f7<6881v9=n:181[20348i>7h9;|q77g<72;qU?o522c09a<=z{=9h6=4:2z\a`>;5j90ih63=ag8a`>;5il0ih63=ae8a`>;5j80ih63=b38a`>;5j:0ih63=b58a`>;5j<0ih63=b78a`>;5j>0ih63=b98a`>;5j00ih63=b`8a`>;5jk0ih63=bb8a`>;4jl0<m63<be84e>;4jj0<m63<bc84e>;4jh0<m63<b884e>;4j10<m63<b684e>;4j?0<m63<b484e>;4j=0<m63<b284e>;4j;0<m63<b084e>;4j90<m63<ag84e>;4il0<m63<ae84e>;4ij0<m63<ac84e>;4ih0<m63<a884e>;4i10<m63<a684e>;4i?0<m63<a484e>;4i=0<m63<a284e>;4i;0<m63<a084e>;4i90<m63<9g84e>;41l0<m63<9e84e>;41j0<m63<9c84e>;41h0<m63<9884e>;4110<m63<9684e>;41?0<m63<9484e>;41=0<m63<9284e>;41;0<m63<9084e>;4190<m63<8g84e>;40l0<m63<8e84e>;40j0<m63<8c84e>;40h0<m63<8884e>;4010<m63<8684e>;40?0<m63<8484e>;40=0<m63<8284e>;40;0<m63<8084e>;4090<m63<7g84e>;4?l0<m6s|42f94?25sWh970=me;`1?85el3h970=mc;`1?85ej3h970=ma;`1?85e13h970=m8;`1?85e?3h970=m6;`1?85e=3h970=m4;`1?85e;3h970=m2;`1?85e93h970=m0;`1?85fn3h970=ne;`1?85fl3h970=nc;`1?85fj3h970=na;`1?85f13h970=n8;`1?85f?3h970=n6;`1?85f=3h970=n4;`1?85f;3h970=n2;`1?85f93h970=n0;`1?85>n3h970=6e;`1?85>l3h970=6c;`1?85>j3h970=6a;`1?85>13h970=68;`1?85>?3h970=66;`1?85>=3h970=64;`1?85>;3h970=62;`1?85>93h970=60;`1?85?n3h970=7e;`1?85?l3h970=7c;`1?85?j3h970=7a;`1?85?13h970=78;`1?85??3h970=76;`1?85?=3h970=74;`1?85?;3h970=72;`1?85?93h970=70;`1?850n3h970=8e;`1?xu3;l0;69<t^34;?85em38:o63<be815f=:;ki1><m4=2`a>77d349im7<>c:?0f<<59j16?o6520a896d02;;h70=m6;02g>;4j<09=n523c6964e<5:h86??l;<1a6?46k278n<4=1b9>7g6=:8i01>oi:33`?85fm38:o63<ae815f=:;hi1><m4=2ca>77d349jm7<>c:?0e<<59j16?l6520a896g02;;h70=n6;02g>;4i<09=n523`6964e<5:k86??l;<1b6?46k278m<4=1b9>7d6=:8i01>7i:33`?85>m38:o63<9e815f=:;0i1><m4=2;a>77d3492m7<>c:?0=<<59j16?46520a896?02;;h70=66;02g>;41<09=n52386964e<5:386??l;<1:6?46k2785<4=1b9>7<6=:8i01>6i:33`?85?m38:o63<8e815f=:;1i1><m4=2:a>77d3493m7<>c:?0<<<59j16?56520a896>02;;h70=76;02g>;40<09=n52396964e<5:286??l;<1;6?46k2784<4=1b9>7=6=:8i01>9i:33`?850m38:o6s|42d94?2|V;<870<mf;g4?850n38:n63=c18204=z{=>;6=4;{_055>;5k;0n;63<81815g=::j91=9?4}r675?6=<rT9:=522b79a2=:;1;1><l4=3a5>4263ty?8?4?:5y]60`<5;i36h94=2:1>77e348h57?;1:p015=83>pR?;k;<0`f?c03493?7<>b:?1gf<6<81v9:;:187[42k279oh4j7:?0<1<59k16>nh51538yv23=3:18vP=5c9>6a7=m>16?5;520`897b528>:7p};4783>1}Y:<k01?j;:d5896>12;;i70<k5;375>{t<==1<7:t^37:?84c?3o<70=77;02f>;5l10:8<5rs56;>5<3sW8>463=d`8f3>;40109=o522e`9517<uz>?57>54z\112=::mn1i:5239;964d<5;nn6<:>;|q70d<72=qU>884=3g3>`1<5:2j6??m;<0f5?7392wx89l50;6xZ732348n?7k8;<1;f?46j279i94>409~w12d290?wS<:4:?1a3<b?2784n4=1c9>6`1=9=;0q~:;d;290~X5=;16>h75e69>7=b=:8h01?kn:062?xu3<l0;69uQ243897cd2l=01>6j:33a?84bl3;?=6s|45d94?2|V;?;70<jf;g4?85?n38:n63=f18204=z{=?;6=4;{_07b>;5n;0n;63<91815g=::o91=9?4}r665?6=<rT98h522g79a2=:;0;1><l4=3d5>4263ty?9?4?:5y]61b<5;l36h94=2;1>77e348m57?;1:p005=83>pR?:l;<0ef?c03492?7<>b:?1bf<6<81v9;;:187[43j279jh4j7:?0=1<59k16>kh51538yv22=3:18vP=4`9>757=m>16?4;520`8966528>:7p};5783>1}Y:=301>>;:d5896?12;;i70=?5;375>{t<<=1<7:t^364?857?3o<70=67;02f>;4810:8<5rs57;>5<3sW8?:63<0`8f3>;41109=o5231`9517<uz>>57>54z\100=:;9n1i:5238;964d<5::n6<:>;|q71d<72=qU>9:4=233>`1<5:3j6??m;<125?7392wx88l50;6xZ724349:?7k8;<1:f?46j278=94>409~w13d290?wS<;2:?053<b?2785n4=1c9>741=9=;0q~::d;290~X5<816?<75e69>7<b=:8h01>?n:062?xu3=l0;69uQ2528967d2l=01>7j:33a?856l3;?=6s|44d94?2|V;9m70=>f;g4?85>n38:n63<218204=z{=<;6=4;{_00a>;4:;0n;63<a1815g=:;;91=9?4}r655?6=<rT9?n523379a2=:;h;1><l4=205>4263ty?:?4?:5y]66d<5:836h94=2c1>77e349957?;1:p035=83>pR?=n;<11f?c0349j?7<>b:?06f<6<81v98;:187[441278>h4j7:?0e1<59k16??h51538yv21=3:18vP=399>767=m>16?l;520`8965528>:7p};6783>1}Y::=01>=;:d5896g12;;i70=<5;375>{t<?=1<7:t^315?854?3o<70=n7;02f>;4;10:8<5rs54;>5<3sW88963<3`8f3>;4i109=o5232`9517<uz>=57>54z\171=:;:n1i:523`;964d<5:9n6<:>;|q72d<72=qU>>=4=263>`1<5:kj6??m;<175?7392wx8;l50;6xZ756349??7k8;<1bf?46j278894>409~w10d290?wS<<0:?003<b?278mn4=1c9>711=9=;0q~:9d;290~X5:o16?975e69>7db=:8h01>:n:062?xu3>l0;69uQ23g8962d2l=01>oj:33a?853l3;?=6s|47d94?2|V;8o70=;f;g4?85fn38:n63<518204=z{==;6=4;{_01g>;4=;0n;63<b1815g=:;<91=9?4}r645?6=<rT9>o523479a2=:;k;1><l4=275>4263ty?;?4?:5y]67g<5:?36h94=2`1>77e349>57?;1:p025=83>pR?<6;<16f?c0349i?7<>b:?01f<6<81v99;:187[4502789h4j7:?0f1<59k16?8h51538yv20=3:18vP=669>737=m>16?o;520`8960528>:7p};7783>1}Y:?<01>8;:d5896d12;;i70=95;375>{t<>=1<7:t^346?851?3o<70=m7;02f>;4>10:8<5rs55;>5<3sW8=863<6`8f3>;4j109=o5237`9517<uz><57>54z\11`=:;?n1i:523c;964d<5:<n6<:>;|q73d<72=qU>8=4=253>`1<5:hj6??m;<145?7392wx8:l50;6xZ72?349<?7k8;<1af?46j278;94>409~w11d290?wS<<d:?033<b?278nn4=1c9>721=9=;0q~:8d;290~X5;;16?:75e69>7gb=:8h01>9n:062?xu3?l0;69uQ2358961d2l=01>lj:33a?850l3;?=6s|46d94?75<r79mn4>3e9>6g6=ij16>o>5b29>6g6=j=16>o>5b49>6g6=j?16>o>5b69>6g6=j116>o>5b89>6g6=jh16>o>5d59>6g6=ll16>o>5dg9>6g6=m916>o>5e09>6g6=m;16>o>5e29>6g6=m=16>o>5e49>6g6=m?16>o>5d49>6g6=l?16>o>5d69>6g6=l116>o>5d89>6g6=lh16>o>5dc9>6g6=lm16>o>5164897d728=270<m0;34e>;5j90:;o522c2952b<5;h;6<l:;<0a4?7d8279n=4>cc9>6g6=9m<01?l?:0g3?84e83;n=63=b182a7=::k:1=h=4=3`3>4c3348i<7?j5:?1f5<6j?16>o>51c5897d728h370<m0;3a=>;5j90:nl522c295gd<5;h;6<ll;<0a4?7el279n=4>bd9>6g6=9kl01?l?:0a2?84e83;h>63=b182g6=::k:1=n:4=3`3>4e2348i<7?l6:?1f5<6k>16>o>51b:897d728i270<m0;3`e>;5j90:on522c295fb<5;h;6<mj;<0a4?7dn279n=4>d19>6g6=9m;01?l?:0f1?84e83;o?63=b182`1=::k:1=i;4=3`3>4b0348i<7?k8:?1f5<6l016>o>51ec897d728ni70<m0;3gg>;5j90:hi522c295a`<5;h;6??k;<0a4?46m279n=4=1g9>6g6=:;:01?l?:302?84e8389>63=b18166=::k:1>?:4=3ce>de<5;km6o=4=3ce>g2<5;km6o;4=3ce>g0<5;km6o94=3ce>g><5;km6o74=3ce>gg<5;km6i:4=3ce>ac<5;km6ih4=3ce>`6<5;km6h?4=3ce>`4<5;km6h=4=3ce>`2<5;km6h;4=3ce>`0<5;km6i;4=3ce>a0<5;km6i94=3ce>a><5;km6i74=3ce>ag<5;km6il4=3ce>ab<5;km6<99;<0bb?700279mk4>789>6d`=9>k01?oi:05a?84fn38:h63=ag815`=::hl1><h4=3ce>747348jj7<=1:?1ec<5:;16>lh5231897ga2;8?70<ne;c`?84fm3h870<ne;`7?84fm3h>70<ne;`5?84fm3h<70<ne;`;?84fm3h270<ne;`b?84fm3n?70<ne;ff?84fm3nm70<ne;g3?84fm3o:70<ne;g1?84fm3o870<ne;g7?84fm3o>70<ne;g5?84fm3n>70<ne;f5?84fm3n<70<ne;f;?84fm3n270<ne;fb?84fm3ni70<ne;fg?84fm3;<:63=ad823<=::ho1=:o4=3cf>41e348ji7<>d:?1e`<59l16>lk520d897gb2;8;70<ne;015>;5il09>?522`g9675<5;kn6?<;;<0b`?gd348jh7l<;<0b`?d3348jh7l:;<0b`?d1348jh7l8;<0b`?d?348jh7l6;<0b`?df348jh7j;;<0b`?bb348jh7ji;<0b`?c7348jh7k>;<0b`?c5348jh7k<;<0b`?c3348jh7k:;<0b`?c1348jh7j:;<0b`?b1348jh7j8;<0b`?b?348jh7j6;<0b`?bf348jh7jm;<0b`?bc348jh7?86:?1ea<6?016>lj516c897gc28=i70<nd;02`>;5im09=h522`f964`<5;ko6?<?;<0b`?459279mi4=239>6db=:;901?ok:307?84e83;<;63=b1823==::k;1>;64=3`1>c5<5;h96?87;<0a7?`4348i?7<98:?1f1<a;279n94=699>6g3=:?201?l9:34;?84e?38=463=b9812==::k31i4522c;963><5;hj6?87;<0af?410279nn4=699>6gb=9=901>9l:ca8961e2ki01?m?:01e?84d;3;8j63=c7827c=::j31=>h4=3a`>45a348hj7?<f:?1`7<6;o16>i;512d897b?289m70<kb;30b>;5ll0:?k522d3956`<5;o?6<=i;<0f3?74n279il4>3g9>6`b=9:l01?h?:01e?84a;3;8j63=f7827c=::o31=>h4=3d`>45a348mj7?<f:?047<6;o16?=;512d8966?289m70=?b;30b>;48l0:?k52303956`<5:;?6<=i;<123?74n278=l4>3g9>74b=9:l01><?:01e?855;3;8j63<27827c=:;;31=>h4=20`>45a3499j7?<f:?077<6;o16?>;512d8965?289m70=<b;30b>;4;l0:?k52353956`<5:>?6<=i;<173?74n2788l4>3g9>71b=9:l01>;?:01e?852;3;8j63<57827c=:;<31=>h4=27`>45a349>j7?<f:?027<6;o16?;;512d8960?289m70=9b;30b>;4>l0:?k52363956`<5:=?6<=i;<143?74n278;l4>3g9>72b=9:l0q~:70;296~;4?m0:8=522c`9544<uz>3=7>52z?03d<6<916>ol510d8yv2?:3:1>v3<768205=::kh1=?o4}r6;7?6=:r78;94>419>6gd=9:90q~:74;296~;4?80:8=522c`9562<uz>397>52z?02`<6<916>ol51278yv2?>3:1>v3<6c8205=::kh1=>84}r6;3?6=:r78:54>419>6gd=9:=0q~:78;296~;4><0:8=522c`956><uz>357>52z?027<6<916>ol512;8yv2?i3:1>v3<5g8205=::kh1=<=4}r6;f?6=:r789n4>419>6gd=98>0q~:7c;296~;4=00:8=522c`9543<uz>3h7>52z?013<6<916>ol51048yv2?m3:1>v3<528205=::kh1=<94}r6;b?6=:r789=4>419>6gd=9820q~:60;296~;4<m0:8=522c`954g<uz>2=7>52z?00d<6<916>oo51008yv2>:3:1>v3<468205=::kk1=<h4}r6:7?6=:r78894>419>6gg=9;k0q~:64;296~;4<80:8=522cc9565<uz>297>52z?07`<6<916>oo51268yv2>>3:1>v3<3c8205=::kk1=>;4}r6:3?6=:r78?54>419>6gg=9:<0q~:68;296~;4;<0:8=522cc9561<uz>257>52z?077<6<916>oo512:8yv2>i3:1>v3<2g8205=::kk1=>74}r6:f?6=:r78>n4>419>6gg=9890q~:6c;296~;4:00:8=522cc9542<uz>2h7>52z?063<6<916>oo51078yv2>m3:1>v3<228205=::kk1=<84}r6:b?6=:r78>=4>419>6gg=98=0q~:n0;296~;49m0:8=522cc954><uz>j=7>52z?05d<6<916>oo510c8yv2f:3:1>v3<168205=::ki1=<<4}r6b7?6=:r78=94>419>6ge=98l0q~:n4;296~;4980:8=522ca957g<uz>j97>52z?04`<6<916>om51218yv2f>3:1>v3<0c8205=::ki1=>:4}r6b3?6=:r78<54>419>6ge=9:?0q~:n8;296~;48<0:8=522ca9560<uz>j57>519y>754=9=:01?hi:063?84ak3;?<63=f88205=::o<1=9>4=3d0>427348m<7?;0:?1aa<6<916>ho5152897c028>;70<j4;374>;5m80:8=522eg9516<5;ni6<:?;<0g<?738279h84>419>6a4=9=:01?mi:063?84dk3;?<63=c88205=::j<1=9>4=3a0>427348h<7?;0:?1ff<6;>1v9on:18184fl3;3?63=bb8f=>{t<hh1<7<t=3cg>4>b348io7h9;|q7ef<72;q6>lj518;897dd28::7p};ae83>7}::hn1=l:4=3``>46f3ty?mh4?:3y>6db=9ho01?ll:02a?xu3io0;6?u22`f95d`<5;hh6<>l;|q7f5<72;q6>lj51c2897dd28:o7p};b083>7}::hn1=o?4=3``>46b3ty?n?4?:3y>6db=9k801?ll:02e?xu3j:0;6?u22`f95g5<5;hh6<??;|q7f1<72;q6>lj5196897dd2lk0q~:m5;296~;5im0:48522ca9ag=z{=h=6=4={<0b`?7?>279nn4jc:p0g1=838p1?ok:0:4?84ek3oo7p};b983>7}::hn1=564=3``>`c<uz>i57>52z?1ea<60016>om5eg9~w1df2909w0<nd;3;e>;5jj0m=6s|4c`94?4|5;ko6<6m;<0ag?`43ty?nn4?:3y>6db=91i01?ll:g68yv2el3:1>v3=ae82<a=::ki1j85rs5`f>5<5s48jh7?7f:?1ff<a?2wx8oh50;0x97gc283;70<mc;d;?xu3k90;6?u22`f95<7<5;hh6k74}r6`5?6=:r79mi4>939>6ge=nh1v9m=:18184fl3;2?63=bb8ef>{t<j91<7<t=3cg>4?3348io7hl;|q7g1<72;q6>lj5187897dd2on0q~:l5;296~;5im0:5;522ca9b`=z{=i=6=4={<0b`?7>?279nn4if:p0f1=838p1?ok:0;;?84ek3;;<6s|4b:94?4|5;ko6<7n;<0ag?77:2wx8n750;0x97gc283i70<mc;337>{t<jk1<7<t=3cg>4?d348io7??4:p0fd=838p1?ok:0;g?84ek3;;96s|4ba94?4|5;ko6<7j;<0ag?77>2wx8nj50;0x97gc283m70<mc;33<>{t<jo1<7<t=3ce>4d2348ji7?j6:p0f`=838p1?oi:0a3?84fm3;m=6s|4e294?4|5;km6<mm;<0ba?7ak2wx8i?50;0x97ga28n=70<ne;033>{t<m81<7<t=3ce>4c7348ji7<>1:p0a5=838p1?oi:0g2?84fm38:>6s|4e694?4|5;km6<k=;<0ba?46;2wx8i;50;0x97ga28o870<ne;020>{t<m<1<7<t=3ce>4c3348ji7<>5:p0a1=838p1?oi:0g6?84fm38::6s|4e:94?4|5;km6<l9;<0ba?7b?2wx8i750;0x97ga28h<70<ne;3f<>{t<mk1<7<t=3ce>4d?348ji7?j9:p0ad=838p1?oi:0`:?84fm3;nm6s|4ea94?4|5;km6<ln;<0ba?7bj2wx8ij50;0x97ga28hi70<ne;3fg>{t<mo1<7<t=3ce>4dd348ji7?jd:p0a`=838p1?oi:0`g?84fm3;ni6s|4d294?4|5;km6<lj;<0ba?7bn2wx8h?50;0x97ga28hm70<ne;3e4>{t<l81<7<t=3ce>4e6348ji7?i2:p0`5=838p1?oi:0a1?84fm3;m?6s|4d694?4|5;km6<m<;<0ba?7a<2wx8h;50;0x97ga28i?70<ne;3e1>{t<l<1<7<t=3ce>4e2348ji7?i6:p0`1=838p1?oi:0a5?84fm3;m;6s|4d:94?4|5;km6<m8;<0ba?7a02wx8h750;0x97ga28i370<ne;3e=>{t<lk1<7<t=3ce>4e>348ji7?ia:p0`d=838p1?oi:0ab?84fm3;mn6s|4da94?4|5;km6<ml;<0ba?7al2wx8hj50;0x97ga28io70<ne;3ea>{t<lo1<7<t=3ce>4eb348ji7?if:p0``=838p1?oi:0ae?84fm38;<6s|4g294?4|5;km6<j?;<0ba?4792wx8k?50;0x97ga28n:70<ne;036>{t<o81<7<t=3ce>4b5348ji7<?3:p0c5=838p1?oi:0f0?84fm38;86s|4g694?4|5;km6<j;;<0ba?47=2wx8k;50;0x97ga28n>70<ne;032>{t<o<1<7<t=3ce>4b0348ji7<?8:p0c1=838p1?oi:0f;?84fm38;56s|4g:94?4|5;km6<j6;<0ba?47i2wx8k750;0x97ga28nj70<ne;03f>{t<ok1<7<t=3ce>4be348ji7<?c:p0cd=838p1?oi:0f`?84fm38;h6s|4ga94?4|5;km6<jk;<0ba?47m2wx8kj50;0x97ga28nm70<ne;024>{t<oo1<7=t=3ce>41c348i;7?>2:?1f=<b12wx8kh50;0x97ga28o=70<nd;3a1>{t=9:1<7<t=3ce>4`6348jh7?l0:p157=838p1?oi:0d`?84fl3;hn6s|51094?4|5;km6?>8;<0b`?7c>2wx9==50;0x97ga2;;:70<nd;3f4>{t=9>1<7<t=3ce>775348jh7?j1:p153=838p1?oi:330?84fl3;n>6s|51494?4|5;km6??;;<0b`?7b;2wx9=950;0x97ga2;;>70<nd;3f0>{t=921<7<t=3ce>771348jh7?j5:p15?=838p1?oi:0g4?84fl3;i:6s|51c94?4|5;km6<k7;<0b`?7e?2wx9=l50;0x97ga28o270<nd;3a<>{t=9i1<7<t=3ce>4cf348jh7?m9:p15b=838p1?oi:0ga?84fl3;im6s|51g94?4|5;km6<kl;<0b`?7ej2wx9=h50;0x97ga28oo70<nd;3ag>{t=8:1<7<t=3ce>4cb348jh7?md:p147=838p1?oi:0ge?84fl3;ii6s|50094?4|5;km6<h?;<0b`?7en2wx9<=50;0x97ga28l970<nd;3`5>{t=8>1<7<t=3ce>4`4348jh7?l2:p143=838p1?oi:0d7?84fl3;h?6s|50494?4|5;km6<h:;<0b`?7d<2wx9<950;0x97ga28l=70<nd;3`1>{t=821<7<t=3ce>4`0348jh7?l6:p14?=838p1?oi:0d;?84fl3;h;6s|50c94?4|5;km6<h6;<0b`?7d02wx9<l50;0x97ga28lj70<nd;3`=>{t=8i1<7<t=3ce>4`e348jh7?la:p14b=838p1?oi:0dg?84fl3;ho6s|50g94?4|5;km6<hj;<0b`?7dl2wx9<h50;0x97ga28lm70<nd;3`a>{t=;:1<7<t=3ce>767348jh7?lf:p177=838p1?oi:322?84fl3;o<6s|53094?4|5;km6?>=;<0b`?7c92wx9?=50;0x97ga2;:870<nd;3g6>{t=;>1<7<t=3ce>763348jh7?k3:p173=838p1?oi:326?84fl3;o86s|53494?4|5;km6?>9;<0b`?7c=2wx9?950;0x97ga2;:370<nd;3g3>{t=;21<7<t=3ce>76>348jh7?k8:p17?=838p1?oi:32b?84fl3;o56s|53c94?4|5;km6?>m;<0b`?7ci2wx9?l50;0x97ga2;:h70<nd;3gf>{t=;i1<7<t=3ce>76c348jh7?kc:p17b=838p1?oi:32f?84fl3;oh6s|53g94?4|5;km6???;<0b`?7cn2wx9?h50;0x97ga282i70<nd;5a?xu2;90;6?u22`d95=e<5;ko6584}r705?6=:r79mk4>8e9>6db=181v8==:18184fn3;3j63=ae8:g>{t=:91<7<t=3ce>4?7348jh7o9;|q671<72;q6>lh5183897gc2h=0q~;<5;296~;5io0:5?522`f9e==z{<9=6=4={<0bb?7>;279mi4n9:p161=838p1?oi:0;7?84fl3kj7p}:3983>7}::hl1=4;4=3cg>dd<uz?857>52z?1ec<61?16>lj57b9~w05f2909w0<nf;3:3>;5im0<h6s|52`94?4|5;km6<77;<0b`?1b3ty>?n4?:3y>6d`=90k01?ok:6d8yv34l3:1>v3=ag82=g=::hn14=5rs41f>5<5s48jj7?6c:?1ea<?92wx9>h50;0x97ga283o70<nd;:1?xu2<90;6?u22`d95<c<5;ko65=4}r775?6=:r79mk4>9g9>6db=0=1v8:=:18184fn3;j<63=ae8;1>{t==91<7<t=3ce>4g6348jh768;|q601<72;q6>lh51`0897gc2120q~;;5;296~;5io0:m>522`f9<<=z{<>=6=4={<0bb?7f=279mi47a:p111=838p1?oi:0c5?84fl32i7p}:4983>7}::hl1=l94=3cg>=e<uz??57>52z?1ec<6i116>lj58e9~w02f2909w0<nf;3b=>;5im03i6s|55`94?4|5;km6<on;<0b`?>a3ty>8n4?:3y>6d`=9hh01?ok:828yv33l3:1=>u22`d95db<5;ko64<4=3cg><5<5;ko64:4=3cg><3<5;ko6484=3cg><1<5;ko6464=3cg><?<5;ko64o4=3cg><d<5;ko64j4=3cg><c<5;ko64h4=3cg>d6<5;ko6l?4=3cg>d4<5;ko6l=4=3cg>d3<uz??i7>52z?1ec<60:16>oo5e89~w02a2909w0<nf;3;a>;5jh0m:6s|54294?4|5;km6<76;<0ae?7792wx98?50;0x97ga28k?70<ma;33e>{t=<81<7<t=3ce>4gb348im7??b:p105=838p1?oi:0ce?84ei3;;o6s|54694?4|5;km6<l?;<0ae?77l2wx98;50;0x97ga28h:70<ma;33a>{t=<<1<7<t=3ce>4d5348im7??f:p101=838p1?oi:0`0?84ei3;:<6s|54:94?4|5;km6<6;;<0ae?cf3ty>944?:3y>6d`=91?01?ln:d`8yv32i3:1>v3=ag82<3=::kk1in5rs47a>5<5s48jj7?77:?1fd<bl2wx98m50;0x97ga282370<ma;gf?xu2=m0;6?u22`d95=?<5;hj6hh4}r76a?6=:r79mk4>8`9>6gg=n81v8;i:18184fn3<>70<nd;1a?xu2>90;6?u22`d92c=::hn18:5rs442>5<5s48jj79?;<0b`?2f3ty>:?4?:3y>6d`=?816>lj54c9~w0042909w0<nf;51?84fl3>h7p}:6583>7}::hl1;>522`f90a=z{<<>6=4={<0bb?13348jh7:j;|q623<72;q6>lh5749>6db=<o1v888:18184fn3==70<nd;73?xu2>10;6?u22`d932=::hn19<5rs44:>5<5s48jj789;<0b`?5d3ty>:l4?:3y>6d`=>>16>lj53e9~w00e2909w0<nf;4;?84fl39n7p}:6b83>7}::hl1:4522`f97c=z{<<o6=4={<0bb?0f348jh7:?;|q62`<72;q6>lh56c9>6db=<81v88i:18184fn3<h70<nd;61?xu2?90;6?u22`d92`=::hn1895rs452>5<5s48jh7?8d:?1f=<69;1v89=:18184e83;n:63=ad82f0=z{<=86=4={<0a4?7a9279mh4>c19~w0132909w0<m0;3eg>;5il0:oo5rs456>5<5s48i<7<?7:?1e`<6l?1v899:18184e838:=63=ad82a5=z{<=<6=4={<0a4?46:279mh4>e09~w01?2909w0<m0;027>;5il0:i?5rs45:>5<5s48i<7<>4:?1e`<6m:1v89n:18184e838:963=ad82a1=z{<=i6=4={<0a4?46>279mh4>e49~w01d2909w0<m0;3f3>;5il0:n;5rs45g>5<5s48i<7?j8:?1e`<6j>1v89j:18184e83;n563=ad82f==z{<=m6=4={<0a4?7bi279mh4>b89~w0>72909w0<m0;3ff>;5il0:nl5rs4:2>5<5s48i<7?jc:?1e`<6jk1v86=:18184e83;nh63=ad82ff=z{<286=4={<0a4?7bm279mh4>be9~w0>32909w0<m0;3fb>;5il0:nh5rs4:6>5<5s48i<7?i0:?1e`<6jo1v869:18184e83;m>63=ad82g4=z{<2<6=4={<0a4?7a;279mh4>c39~w0>?2909w0<m0;3e0>;5il0:o>5rs4::>5<5s48i<7?i5:?1e`<6k=1v86n:18184e83;m:63=ad82g0=z{<2i6=4={<0a4?7a?279mh4>c79~w0>d2909w0<m0;3e<>;5il0:o:5rs4:g>5<5s48i<7?i9:?1e`<6k11v86j:18184e83;mm63=ad82g<=z{<2m6=4={<0a4?7aj279mh4>c`9~w0?72909w0<m0;3e`>;5il0:on5rs4;2>5<5s48i<7?ie:?1e`<6km1v87=:18184e83;mj63=ad82g`=z{<386=4={<0a4?478279mh4>cg9~w0?32909w0<m0;035>;5il0:h=5rs4;6>5<5s48i<7<?2:?1e`<6l81v879:18184e838;?63=ad82`7=z{<3<6=4={<0a4?47<279mh4>d29~w0??2909w0<m0;031>;5il0:h95rs4;:>5<5s48i<7<?6:?1e`<6l<1v87n:18184e838;463=ad82`2=z{<3i6=4={<0a4?471279mh4>d99~w0?d2909w0<m0;03e>;5il0:h45rs4;g>5<5s48i<7<?b:?1e`<6lh1v87j:18184e838;o63=ad82`g=z{<3m6=4={<0a4?47l279mh4>db9~w0g72909w0<m0;03a>;5il0:hi5rs4c2>5<5s48i<7<>0:?1e`<6lo1v8o=:18184e83;3n63=ad84f>{t=h91<7<t=3`3>4>d348ji769;|q6e1<72;q6>o>519f897gb20;0q~;n5;296~;5j90:4k522`g9=f=z{<k=6=4={<0a4?7>8279mh4n6:p1d1=838p1?l?:0;2?84fm3k<7p}:a983>7}::k:1=4<4=3cf>d><uz?j57>52z?1f5<61:16>lk5a89~w0gf2909w0<m0;3:0>;5il0jm6s|5``94?4|5;h;6<7:;<0ba?ge3ty>mn4?:3y>6g6=90<01?oj:6a8yv3fl3:1>v3=b182=2=::ho1;i5rs4cf>5<5s48i<7?68:?1e`<0m2wx9lh50;0x97d7283j70<ne;5e?xu2j90;6?u22c295<d<5;kn65>4}r7a5?6=:r79n=4>9b9>6dc=081v8l=:18184e83;2h63=ad8;6>{t=k91<7<t=3`3>4?b348ji76<;|q6f1<72;q6>o>518d897gb21>0q~;m5;296~;5j90:m=522`g9<0=z{<h=6=4={<0a4?7f9279mh477:p1g1=838p1?l?:0c1?84fm3237p}:b983>7}::k:1=l=4=3cf>=?<uz?i57>52z?1f5<6i<16>lk58`9~w0df2909w0<m0;3b2>;5il03n6s|5c`94?4|5;h;6<o8;<0ba?>d3ty>nn4?:3y>6g6=9h201?oj:9f8yv3el3:1>v3=b182e<=::ho14h5rs4`f>5<5s48i<7?na:?1e`<?n2wx9oh50;0x97d728ki70<ne;;3?xu2k90;6<=t=3`3>4gc348ji77=;<0ba??4348ji77;;<0ba??2348ji779;<0ba??0348ji777;<0ba??>348ji77n;<0ba??e348ji77k;<0ba??b348ji77i;<0ba?g7348ji7o>;<0ba?g5348ji7o<;<0ba?g23ty>o<4?:3y>6g6=91901?lm:d;8yv3d:3:1>v3=b182<`=::kh1j;5rs4a0>5<5s48i<7?69:?1fg<6881v8m;:18184e83;j863=bc824d=z{<i>6=4={<0a4?7fm279no4>0c9~w0e12909w0<m0;3bb>;5jk0:<n5rs4a4>5<5s48i<7?m0:?1fg<68m1v8m7:18184e83;i=63=bc824`=z{<i26=4={<0a4?7e:279no4>0g9~w0ef2909w0<m0;3a7>;5jk0:==5rs4aa>5<5s48i<7?74:?1fg<bi2wx9nm50;0x97d7282>70<mb;ga?xu2km0;6?u22c295=0<5;hi6hm4}r7`a?6=:r79n=4>869>6gd=mm1v8mi:18184e83;3463=bc8fa>{t=m:1<7<t=3`3>4>>348in7ki;|q6`4<72;q6>o>519c897de2o;0q~;k2;296~;5j90=963=ad80f>{t=m91<7<t=3`3>3`<5;kn6994}r7g0?6=:r79n=480:?1e`<3i2wx9i;50;0x97d72>;01?oj:5`8yv3c>3:1>v3=b1846>;5il0?o6s|5e594?4|5;h;6:=4=3cf>1b<uz?o47>52z?1f5<0<279mh4;e:p1a?=838p1?l?:67897gb2=l0q~;ka;296~;5j90<:63=ad864>{t=mh1<7<t=3`3>21<5;kn68?4}r7gg?6=:r79n=496:?1e`<4k2wx9ij50;0x97d72?=01?oj:2f8yv3cm3:1>v3=b185<>;5il08i6s|5ed94?4|5;h;6;74=3cf>6`<uz?n<7>52z?1f5<1i279mh4;0:p1`7=838p1?l?:7`897gb2=;0q~;j2;296~;5j90=o63=ad876>{t=l91<7<t=3`3>3c<5;kn69:4}r7f0?6=;r79mh4>7e9>6g1=m016>o751008yv3b=3:1>v3=ag80f>;5j80:=?5rs4g5>5<5s48jj7:8;<0a5?76n2wx9h950;0x97ga2=k01?l>:00b?xu2m10;6?u22`d90g=::k;1=>=4}r7f=?6=:r79mk4;c:?1f4<6;=1v8kn:18184fn3>o70<m1;301>{t=lh1<7<t=3ce>1c<5;h:6<=9;|q6af<72;q6>lh54g9>6g7=9:=0q~;jd;296~;5io0><63=b0827==z{<on6=4={<0bb?36348i=7?<9:p1``=838p1?oi:2a897d628;87p}:f183>7}::hl1?i522c39542<uz?m=7>52z?1ec<4m279n<4>149~w0`52909w0<nf;1e?84e93;::6s|5g194?4|5;km69>4=3`2>4703ty>j94?:3y>6d`=<816>o?510:8yv3a=3:1>v3=ag876>;5j80:=l5rs4d5>5<5s48jj7:;;<0a5?76k2wx9k950;0x97d72:h01?l=:031?xu2n10;6?u22c2902=::k81=<h4}r7e=?6=:r79n=4;a:?1f7<6:h1v8hn:18184e83>i70<m2;307>{t=oh1<7<t=3`3>1e<5;h96<=;;|q6bf<72;q6>o>54e9>6g4=9:?0q~;id;296~;5j90?i63=b38273=z{<ln6=4={<0a4?2a348i>7?<7:p1c`=838p1?l?:42897d528937p}90183>7}::k:19<522c0956?<uz<;=7>52z?1f5<4k279n?4>129~w3652909w0<m0;1g?84e:3;:86s|61194?4|5;h;6>k4=3`1>4723ty=<94?:3y>6g6=;o16>o<51048yv07=3:1>v3=b1874>;5j;0:=:5rs725>5<5s48i<7:>;<0a6?7602wx:=950;0x97d72=801?l=:03b?xu1810;6?u22c2901=::k81=<m4}r43=?6=:r79mi4=2:?1f0<69;1v;>n:18184fl38n70<m5;32b>{t>9h1<7<t=3cg>67<5;h>6<<n;|q54f<728:p1?ok:20897gc2:901?ok:26897gc2:?01?ok:24897gc2:=01?ok:2:897gc2;901?ok:36897gc2;?01?ok:34897gc2;=01?ok:3:897gc2;301?ok:3`897d228987p}90e83>7}::ho1>?522c49544<uz<;i7>52z?1e`<5m279n;4>1g9~w36a2909w0<ne;12?84e>3;9m6s|60294?77s48ji7==;<0ba?54348ji7=;;<0ba?52348ji7=9;<0ba?50348ji7=7;<0ba?44348ji7<;;<0ba?42348ji7<9;<0ba?40348ji7<7;<0ba?4>348ji7<m;<0a2?74;2wx:<?50;0x97ga2;801?l<:031?xu19;0;6?u22`d96`=::k91=<h4}r427?6=:r79mk4<1:?1f6<6:h1v;?;:18184fn39970<m3;307>{t>8?1<7<t=3ce>65<5;h86<=;;|q553<72;q6>lh5359>6g5=9:?0q~8>7;296~;5io08963=b28273=z{?;36=4={<0bb?51348i?7?<7:p24?=838p1?oi:25897d428937p}91`83>7}::hl1?5522c1956?<uz<:n7>52z?1ec<5;279n>4>129~w37d2909w0<nf;07?84e;3;:86s|60f94?4|5;km6?;4=3`0>4723ty==h4?:3y>6d`=:?16>o=51048yv06n3:1>v3=ag813>;5j:0:=:5rs703>5<5s48jj7<7;<0a7?7602wx:??50;0x97ga2;301?l<:03b?xu1:;0;6?u22`d96g=::k91=<m4}r417?6=:r79n=4=2:?1f1<69;1v;<;:18184e838n70<m4;32b>{t>;?1<7<t=3`3>67<5;h?6<<n;|q563<72;q6>o>5339>6g2=9:90q~8=7;296~;5j908?63=b58271=z{?836=4={<0a4?53348i87?<5:p27?=838p1?l?:27897d3289=7p}92`83>7}::k:1?;522c69561<uz<9n7>52z?1f5<4?279n94>399~w34d2909w0<m0;1;?84e<3;856s|63f94?4|5;h;6?=4=3`7>4743ty=>h4?:3y>6g6=:=16>o:51068yv05n3:1>v3=b1811>;5j=0:=85rs713>5<5s48i<7<9;<0a0?76>2wx:>?50;0x97d72;=01?l;:034?xu1;;0;6?u22c296==::k>1=<64}r407?6=:r79n=4=9:?1f1<69h1v;=;:18184e838i70<m4;32g>{t>:?1<7<t=3`e>414348ih7ll;|q573<72:q6>n>5161897da2;8=70<me;377>{t>:=1<7=t=3a1>414348ij7ll;<0aa?dd3ty=?54?:2y>6f5=9>901?m=:305?84d93;??6s|62;94?5|5;i>6<9<;<0`6?dd348h=7ll;|q57d<72:q6>n85161897e22;8=70<l4;377>{t>:h1<7=t=3a;>414348h97ll;<0`0?dd3ty=?n4?:2y>6f?=9>901?m7:305?84d?3;??6s|62f94?5|5;ii6<9<;<0`<?dd348h;7ll;|q57`<72:q6>nm5161897ee2;8=70<la;377>{t>:l1<7=t=3af>414348hn7ll;<0`e?dd3ty=8=4?:2y>6f`=9>901?mj:305?84dl3;??6s|65394?5|5;n:6<9<;<0`a?dd348hh7ll;|q507<72:q6>i<5161897b62;8=70<k0;377>{t>=91<7=t=3f7>414348o=7ll;<0g4?dd3ty=894?:2y>6a3=9>901?j;:305?84c;3;??6s|65794?5|5;n<6<9<;<0g0?dd348o?7ll;|q503<72:q6>i65161897b02;8=70<k6;377>{t>==1<7=t=3fb>414348o;7ll;<0g2?dd3ty=854?:2y>6ad=9>901?jn:305?84c13;??6s|65;94?5|5;no6<9<;<0ge?dd348o57ll;|q50d<72:q6>ik5161897bc2;8=70<kc;377>{t>=h1<7=t=3g3>414348oh7ll;<0gg?dd3ty=8n4?:2y>6`7=9>901?k?:305?84cn3;??6s|65f94?5|5;o86<9<;<0f4?dd348oj7ll;|q50`<72:q6>h:5161897c42;8=70<j2;377>{t>=l1<7=t=3g5>414348n?7ll;<0f6?dd3ty=9=4?:2y>6`1=9>901?k9:305?84b=3;??6s|64394?5|5;o26<9<;<0f2?dd348n97ll;|q517<72:q6>ho5161897c>2;8=70<j8;377>{t><91<7=t=3g`>414348n57ll;<0f<?dd3ty=994?:2y>6`b=9>901?kl:305?84bj3;??6s|64794?5|5;om6<9<;<0fg?dd348nn7ll;|q513<72:q6>k>5161897ca2;8=70<je;377>{t><=1<7=t=3d1>414348nj7ll;<0fa?dd3ty=954?:2y>6c5=9>901?h=:305?84a93;??6s|64;94?5|5;l>6<9<;<0e6?dd348m=7ll;|q51d<72:q6>k85161897`22;8=70<i4;377>{t><h1<7=t=3d;>414348m97ll;<0e0?dd3ty=9n4?:2y>6c?=9>901?h7:305?84a?3;??6s|64f94?5|5;li6<9<;<0e<?dd348m;7ll;|q51`<72:q6>km5161897`e2;8=70<ia;377>{t><l1<7=t=3df>414348mn7ll;<0ee?dd3ty=:=4?:2y>6c`=9>901?hj:305?84al3;??6s|67394?5|5:::6<9<;<0ea?dd348mh7ll;|q527<72:q6?=<5161896662;8=70=?0;377>{t>?91<7=t=227>414349;=7ll;<134?dd3ty=:94?:2y>753=9>901>>;:305?857;3;??6s|67794?5|5::<6<9<;<130?dd349;?7ll;|q523<72:q6?=65161896602;8=70=?6;377>{t>?=1<7=t=22b>414349;;7ll;<132?dd3ty=:54?:2y>75d=9>901>>n:305?85713;??6s|67;94?5|5::o6<9<;<13e?dd349;57ll;|q52d<72:q6?=k51618966c2;8=70=?c;377>{t>?h1<7=t=233>414349;h7ll;<13g?dd3ty=:n4?:2y>747=9>901>??:305?857n3;??6s|67f94?5|5:;86<9<;<124?dd349;j7ll;|q52`<72:q6?<:5161896742;8=70=>2;377>{t>?l1<7=t=235>414349:?7ll;<126?dd3ty=;=4?:2y>741=9>901>?9:305?856=3;??6s|66394?5|5:;26<9<;<122?dd349:97ll;|q537<72:q6?<o51618967>2;8=70=>8;377>{t>>91<7=t=23`>414349:57ll;<12<?dd3ty=;94?:2y>74b=9>901>?l:305?856j3;??6s|66794?5|5:;m6<9<;<12g?dd349:n7ll;|q533<72:q6??>51618967a2;8=70=>e;377>{t>>=1<7=t=201>414349:j7ll;<12a?dd3ty=;54?:2y>775=9>901><=:305?85593;??6s|66;94?5|5:8>6<9<;<116?dd3499=7ll;|q53d<72:q6??85161896422;8=70==4;377>{t>>h1<7=t=20;>414349997ll;<110?dd3ty=;n4?:2y>77?=9>901><7:305?855?3;??6s|66f94?5|5:8i6<9<;<11<?dd3499;7ll;|q53`<72:q6??m51618964e2;8=70==a;377>{t>>l1<7=t=20f>4143499n7ll;<11e?dd3ty=4=4?:2y>77`=9>901><j:305?855l3;??6s|69394?5|5:9:6<9<;<11a?dd3499h7ll;|q5<7<72:q6?><5161896562;8=70=<0;377>{t>191<7=t=217>4143498=7ll;<104?dd3ty=494?:2y>763=9>901>=;:305?854;3;??6s|69794?5|5:9<6<9<;<100?dd3498?7ll;|q5<3<72:q6?>65161896502;8=70=<6;377>{t>1=1<7=t=21b>4143498;7ll;<102?dd3ty=454?:2y>76d=9>901>=n:305?85413;??6s|69;94?5|5:9o6<9<;<10e?dd349857ll;|q5<d<72:q6?>k51618965c2;8=70=<c;377>{t>1h1<7=t=263>4143498h7ll;<10g?dd3ty=4n4?:2y>717=9>901>:?:305?854n3;??6s|69f94?5|5:>86<9<;<174?dd3498j7ll;|q5<`<72:q6?9:5161896242;8=70=;2;377>{t>1l1<7=t=265>414349??7ll;<176?dd3ty=5=4?:2y>711=9>901>:9:305?853=3;??6s|68394?5|5:>26<9<;<172?dd349?97ll;|q5=7<72:q6?9o51618962>2;8=70=;8;377>{t>091<7=t=26`>414349?57ll;<17<?dd3ty=594?:2y>71b=9>901>:l:305?853j3;??6s|68794?5|5:>m6<9<;<17g?dd349?n7ll;|q5=3<72:q6?8>51618962a2;8=70=;e;377>{t>0=1<7=t=271>414349?j7ll;<17a?dd3ty=554?:2y>705=9>901>;=:305?85293;??6s|68;94?5|5:?>6<9<;<166?dd349>=7ll;|q5=d<72:q6?885161896322;8=70=:4;377>{t>0h1<7=t=27;>414349>97ll;<160?dd3ty=5n4?:2y>70?=9>901>;7:305?852?3;??6s|68f94?5|5:?i6<9<;<16<?dd349>;7ll;|q5=`<72:q6?8m51618963e2;8=70=:a;377>{t>0l1<7=t=27f>414349>n7ll;<16e?dd3ty=m=4?:2y>70`=9>901>;j:305?852l3;??6s|6`394?5|5:<:6<9<;<16a?dd349>h7ll;|q5e7<72:q6?;<5161896062;8=70=90;377>{t>h91<7=t=247>414349==7ll;<154?dd3ty=m94?:2y>733=9>901>8;:305?851;3;??6s|6`794?5|5:<<6<9<;<150?dd349=?7ll;|q5e3<72:q6?;65161896002;8=70=96;377>{t>h=1<7=t=24b>414349=;7ll;<152?dd3ty=m54?:2y>73d=9>901>8n:305?85113;??6s|6`;94?5|5:<o6<9<;<15e?dd349=57ll;|q5ed<72:q6?;k51618960c2;8=70=9c;377>{t>hh1<7=t=253>414349=h7ll;<15g?dd3ty=mn4?:2y>727=9>901>9?:305?851n3;??6s|6`f94?5|5:=86<9<;<144?dd349=j7ll;|q5e`<72:q6?::5161896142;8=70=82;377>{t>hl1<7=t=255>414349<?7ll;<146?dd3ty=n=4?:2y>721=9>901>99:305?850=3;??6s|6c394?5|5:=26<9<;<142?dd349<97ll;|q5f7<72:q6?:o51618961>2;8=70=88;377>{t>k91<7=t=25`>414349<57ll;<14<?dd3ty=n94?:2y>72b=9>901>9l:305?850j3;??6s|6c794?4|5:=i6<9<;<1aa?b43ty=n;4?:3y>72>=9>901>lk:e18yv0e?3:1>v3<748236=:;ki1h>5rs7`;>5<5s49<>7?83:?0fg<c;2wx:o750;0x960a28=870=ma;f0?xu1jh0;6?u237a9525<5:h26i=4}r4af?6=:r78:44>729>7g>=l:1v;ll:181851>3;<?63<b68g7>{t>kn1<7<t=240>414349i:7j<;|q5f`<72;q6?;>5161896d22m90q~8mf;296~;4=m0:;>523c69`6=z{?i;6=4={<16e?70;278n>4k3:p2f7=838p1>;8:050?85e:3n87p}9c383>7}:;<>1=:=4=2`2>a5<uz<h?7>52z?014<6?:16?o>5d29~w3e32909w0=;e;347>;4io0o?6s|6b794?4|5:>i6<9<;<1ba?b43ty=o;4?:3y>71>=9>901>ok:e18yv0d?3:1>v3<448236=:;hi1h>5rs7a;>5<5s49?>7?83:?0eg<c;2wx:n750;0x965a28=870=na;f0?xu1kh0;6?u232a9525<5:k26i=4}r4`f?6=:r78?44>729>7d>=l:1v;ml:181854>3;<?63<a68g7>{t>jn1<7<t=210>414349j:7j<;|q5g`<72;q6?>>5161896g22m90q~8lf;296~;4:m0:;>523`69`6=z{?n;6=4={<11e?70;278m>4k3:p2a7=838p1><8:050?85f:3n87p}9d383>7}:;;>1=:=4=2c2>a5<uz<o?7>52z?064<6?:16?l>5d29~w3b32909w0=>e;347>;41o0o?6s|6e794?4|5:;i6<9<;<1:a?b43ty=h;4?:3y>74>=9>901>7k:e18yv0c?3:1>v3<148236=:;0i1h>5rs7f;>5<5s49:>7?83:?0=g<c;2wx:i750;0x966a28=870=6a;f0?xu1lh0;6?u231a9525<5:326i=4}r4gf?6=:r78<44>729>7<>=l:1v;jl:181857>3;<?63<968g7>{t>mn1<7<t=220>4143492:7j<;|q5``<72;q6?=>5161896?22m90q~8kf;296~;5nm0:;>523869`6=z{?o;6=4={<0ee?70;2785>4k3:p2`7=838p1?h8:050?85>:3n87p}9e383>7}::o>1=:=4=2;2>a5<uz<n?7>52z?1b4<6?:16?4>5d29~w3c32909w0<je;347>;40o0o?6s|6d794?4|5;oi6<9<;<1;a?b43ty=i;4?:3y>6`>=9>901>6k:e18yv0b?3:1>v3=e48236=:;1i1h>5rs7g;>5<5s48n>7?83:?0<g<c;2wx:h750;0x97ba28=870=7a;f0?xu1mh0;6?u22ea9525<5:226i=4}r4ff?6=:r79h44>729>7=>=l:1v;kl:18184c>3;<?63<868g7>{t>ln1<7<t=3f0>4143493:7j<;|q5a`<72;q6>i>5161896>22m90q~8jf;296~;5km0:;>523969`6=z{?l;6=4={<0`e?70;2784>4k3:p2c7=838p1?m8:050?85?:3n87p}9f383>7}::j>1=:=4=2:2>a5<uz<m?7>52z?1g4<6?:16?5>5d29~w3`32909w0<me;347>;4?o0o?6s|6g794?4|5;ho6<9<;<14a?b43ty=j;4?:04x97ge282:70<m0;341>;5io0:;8522`d9521<5;kn6<9:;<0ba?70?279mh4>799>6db=9>?01?ok:054?84fl3;<463=b08a6>;5j;0i>63=b28a6>;5j=0i>63=b48a6>;5j?0i>63=b68a6>;5j10i>63=b88a6>;5jh0i>63=bc8a6>;5jj0i>6s|6g594?7|5:=n6??m;|p6=d=838pR?6m;<0be?573ty9454?:3y]6=><5;kj6?j4}r0;3?6=:rT94:522`c96f=z{;2=6=4={_0;2>;5ih09n6s|29794?4|V;2>70<na;0:?xu50=0;6?uQ296897gf2;20q~<73;296~X50:16>lo5269~w7>52909wS<72:?1ed<5>2wx>5?50;0xZ7>6348jm7<:;|q1<5<72;qU>5>4=3cb>72<uz8<j7>52z\13c=::hk1>>5rs3;0>5<5sW82?63=a`80<>{t:081<7<t^3;1?84fi39<7p}=9083>7}Y:0;01?on:248yv4>83:1>vP=919>6dg=;<1v?6i:181[4?n279ml4<4:p6=c=838pR?6j;<0be?543ty94i4?:3y]6=b<5;kj6><4}r0;g?6=:rT94n522`c974=z{;226=4={_0;=>;5ih09i6s|26g94?4|V;=n70<na;01?xu5i80;6?uQ2`3897gf2=30q~<6e;296~X51l16>lo5479~w7?c2909wS<6d:?1ed<3=2wx>4m50;0xZ7?d348jm7:;;|q1=g<72;qU>4l4=3cb>14<uz82m7>52z\1=d=::hk18<5rs3;:>5<5sW82563=a`874>{t:021<7<t^3;;?84fi39m7p}=9683>7}Y:0=01?on:2g8yv4>>3:1>vP=979>6dg=;m1v?7::181[4>=279ml4<c:p6d?=838pR?o6;<0be?363ty9m54?:3y]6d><5;kj68>4}r0b3?6=:rT9m:522`c90c=z{;k=6=4={_0b2>;5ih0?i6s|2`794?4|V;k>70<na;6g?xu5i=0;6?uQ2`6897gf2=i0q~<n3;296~X5i:16>lo54c9~w7g52909wS<n2:?1ed<3i2wx>4h50;0xZ7?a348jm7:8;|q1=1<72;qU>4:4=3cb>6d<uz9h<7>52z\0g5=::hk1ni5rs2`e>5<5sW9ij63=a`8a6>{t<8;1<7<t^532?84fi38=46s|41a94?4|V=:h70<na;057>{t<9k1<7<t^52b?84fi38==6s|41;94?4|V=:270<na;054>{t<921<7<t^52;?84fi38>j6s|41494?4|V=:=70<na;06`>{t<9?1<7<t^526?84fi38>o6s|41694?4|V=:?70<na;06f>{t<991<7<t^520?84fi38>m6s|41094?4|V=:970<na;06=>{t<9;1<7<t^522?84fi38>46s|41294?4|V=:;70<na;063>{t;ol1<7<t^2de?84fi38>:6s|3gg94?4|V:ln70<na;061>{t;on1<7<t^2dg?84fi38>86s|3g`94?4|V:li70<na;066>{t;ok1<7<t^2db?84fi38>=6s|3g;94?4|V:l270<na;064>{t;o21<7<t^2d;?84fi38?j6s|3g594?4|V:l<70<na;07a>{t;o<1<7<t^2d5?84fi38?h6s|3g794?4|V:l>70<na;07g>{t;o>1<7<t^2d7?84fi38?n6s|3g194?4|V:l870<na;07e>{t;o81<7<t^2d1?84fi38?56s|3g294?4|V:l;70<na;073>{t;ll1<7<t^2ge?84fi38?:6s|3dg94?4|V:on70<na;071>{t;ln1<7<t^2gg?84fi38?86s|3da94?4|V:oh70<na;077>{t;lh1<7<t^2ga?84fi38?>6s|3dc94?4|V:oj70<na;075>{t;l31<7<t^2g:?84fi38?<6s|3d:94?4|V:o370<na;00b>{t;l=1<7<t^2g4?84fi388i6s|3d794?4|V:o>70<na;00g>{t;l>1<7<t^2g7?84fi388n6s|3d194?4|V:o870<na;00e>{t;l81<7<t^2g1?84fi38856s|3d394?4|V:o:70<na;00<>{t;l:1<7<t^2g3?84fi388;6s|3ed94?4|V:nm70<na;002>{t;mo1<7<t^2ff?84fi38896s|3ef94?4|V:no70<na;000>{t;mi1<7<t^2f`?84fi388?6s|3ec94?4|V:nj70<na;005>{t;m31<7<t^2f:?84fi388<6s|3e:94?4|V:n370<na;01b>{t;m=1<7<t^2f4?84fi389i6s|3e494?4|V:n=70<na;01`>{t;m?1<7<t^2f6?84fi389o6s|3e694?4|V:n?70<na;01f>{t;m91<7<t^2f0?84fi389m6s|3e094?4|V:n970<na;01=>{t;m;1<7<t^2f2?84fi38946s|40294?4|V=;;70<na;053>{t<9l1<7<t^52e?84fi38=:6s|41g94?4|V=:n70<na;051>{t<9n1<7<t^52g?84fi38=86s|41594?4|V=:<70<na;06a>{t;oi1<7<t^2d`?84fi38>?6s|3g394?4|V:l:70<na;07<>{t;l<1<7<t^2g5?84fi388h6s|3e`94?4|V:ni70<na;006>{t;m:1<7<t^2f3?84fi389;6srn0271?6=>rB9;<5rn0272?6=>rB9;<5rn0273?6=>rB9;<5rn027<?6=>rB9;<5rn027=?6=>rB9;<5rn027e?6=>rB9;<5rn027f?6=>rB9;<5rn027g?6=>rB9;<5rn027`?6=>rB9;<5rn027a?6=>rB9;<5rn027b?6=>rB9;<5rn0264?6=>rB9;<5rn0265?6=>rB9;<5rn0266?6=>rB9;<5rn0267?6=>rB9;<5rn0260?6=>rB9;<5rn0261?6=>rB9;<5rn0262?6=>rB9;<5rn0263?6=>rB9;<5rn026<?6=>rB9;<5rn026=?6=>rB9;<5rn026e?6=:9qC>:?4}o331g<72=qC>:?4}o331f<72=qC>:?4}o331a<72=qC>:?4}o331`<72=qC>:?4}o331c<72=qC>:?4}o3325<72=qC>:?4}o3324<72=qC>:?4}o3327<72=qC>:?4}o3326<72=qC>:?4}o3321<72=qC>:?4}o3320<72=qC>:?4}o3323<72=qC>:?4}o3322<72=qC>:?4}o332=<72=qC>:?4}o332<<72=qC>:?4}o332d<72=qC>:?4}o332g<72=qC>:?4}o332f<72=qC>:?4}o332a<72=qC>:?4}o332`<72=qC>:?4}o332c<72;qC>:?4}o3335<72;qC>:?4}o3334<72;qC>:?4}o3337<72;qC=:<4H352?xh68>91<7<tH051?M4092we==9;:181M70:2B9;<5rn0241?6=:rB:;?5G2638yk77??0;6?uG1608L7163td:<:950;0xL4153A8<=6sa115;>5<5sA;<>6F=709~j46013:1>vF>739K627<ug;;;l4?:3yK524<@;=:7p`>06`94?4|@8=97E<81:m551d2909wE?82:J134=zf8:<h7>52zJ237=O:>;0qc??7d83>7}O9>80D?9>;|l242`=838pD<9=;I045>{i992;6=4={I346>N5?81vb<>71;296~N6?;1C>:?4}o33<7<72;qC=:<4H352?xh68191<7<tH051?M4092we==6;:181M70:2B9;<5rn02;1?6=:rB:;?5G2638yk770?0;6?uG1608L7163td:<5950;0xL4153A8<=6sa11:;>5<5sA;<>6F=709~j46?13:1>vF>739K627<ug;;4l4?:3yK524<@;=:7p`>09`94?4|@8=97E<81:m55>d2909wE?82:J134=zf8:3h7>52zJ237=O:>;0qc??8d83>7}O9>80D?9>;|l24=`=838pD<9=;I045>{i993;6=4={I346>N5?81vb<>61;296~N6?;1C>:?4}o33=7<72;qC=:<4H352?xh68091<7<tH051?M4092we==7;:181M70:2B9;<5rn02:1?6=:rB:;?5G2638yk771?0;6?uG1608L7163td:<4950;0xL4153A8<=6sa11;;>5<5sA;<>6F=709~j46>13:1>vF>739K627<ug;;5l4?:3yK524<@;=:7p`>08`94?4|@8=97E<81:m55?d2909wE?82:J134=zf8:2h7>52zJ237=O:>;0qc??9d83>7}O9>80D?9>;|l24<`=838pD<9=;I045>{i99k;6=4={I346>N5?81vb<>n1;296~N6?;1C>:?4}o33e7<72;qC=:<4H352?xh68h91<7<tH051?M4092we==o;:181M70:2B9;<5rn02b1?6=:rB:;?5G2638yk77i?0;6?uG1608L7163td:<l950;0xL4153A8<=6sa11c;>5<5sA;<>6F=709~j46f13:1>vF>739K627<ug;;ml4?:3yK524<@;=:7p`>0``94?4|@8=97E<81:m55gd2909wE?82:J134=zf8:jh7>52zJ237=O:>;0qc??ad83>7}O9>80D?9>;|l24d`=838pD<9=;I045>{i99h;6=4={I346>N5?81vb<>m1;296~N6?;1C>:?4}of`g?6=lrB9;<5rneag>5<4sA;<>6F=709~jaeb2908wE?82:J134=zfmim6=4<{I346>N5?81vbij?:180M70:2B9;<5rnef2>5<4sA;<>6F=709~jab52908wE?82:J134=zfmn86=4<{I346>N5?81vbij;:180M70:2B9;<5rnef6>5<4sA;<>6F=709~jab12908wE?82:J134=zfmn<6=4<{I346>N5?81vbij7:180M70:2B9;<5rnef:>5<4sA;<>6F=709~jabf2908wE?82:J134=zfmni6=4<{I346>N5?81vbijl:180M70:2B9;<5rnefg>5<4sA;<>6F=709~jabb2908wE?82:J134=zfmnm6=4<{I346>N5?81vbik?:180M70:2B9;<5rneg2>5<4sA;<>6F=709~jac52908wE?82:J134=zfmo86=4<{I346>N5?81vbik;:180M70:2B9;<5rneg6>5<4sA;<>6F=709~jac12908wE?82:J134=zfmo<6=4<{I346>N5?81vbik7:180M70:2B9;<5rneg:>5<4sA;<>6F=709~jacf2908wE?82:J134=zfmoi6=4<{I346>N5?81vbikl:180M70:2B9;<5rnegg>5<4sA;<>6F=709~jacb2908wE?82:J134=zfmom6=4<{I346>N5?81vbih?:180M70:2B9;<5rned2>5<4sA;<>6F=709~ja`52908wE?82:J134=zfml86=4<{I346>N5?81vbih;:180M70:2B9;<5rned6>5<4sA;<>6F=709~ja`12908wE?82:J134=zfmlm6=4={I045>{im9:1<7<tH352?xhb880;6?uG2638ykc7:3:1>vF=709~j`642909wE<81:ma52=838pD?9>;|lf40<72;qC>:?4}og32?6=:rB9;<5rnd24>5<5sA8<=6sae1:94?4|@;=:7p`j0883>7}O:>;0qck?a;296~N5?81vbh>m:181M4092wei=m50;0xL7163tdn<i4?:3yK627<ugo;i7>52zJ134=zfl:m6=4={I045>{im8:1<7<tH352?xhb980;6?uG2638ykc6:3:1>vF=709~j`742909wE<81:ma42=838pD?9>;|lf50<72;qC>:?4}og22?6=:rB9;<5rnd34>5<5sA8<=6sae0:94?4|@;=:7p`j1883>7}O:>;0qck>a;296~N5?81vbh?m:182M4092wei<m50;3xL7163tdn=i4?:0yK627<ugo:i7>51zJ134=zfl;m6=4>{I045>{im;:1<7?tH352?xhb:80;6<uG2638ykc5:3:1=vF=709~j`44290:wE<81:ma72=83;pD?9>;|lf60<728qC>:?4}og12?6=9rB9;<5rnd04>5<6sA8<=6sae3:94?7|@;=:7p`j2883>4}O:>;0qck=a;295~N5?81vbh<m:182M4092wei?m50;3xL7163tdn>i4?:0yK627<ugo9i7>51zJ134=zfl8m6=4>{I045>{im::1<7?tH352?xhb;80;6<uG2638ykc4:3:1=vF=709~j`54290:wE<81:ma62=83;pD?9>;|lf70<728qC>:?4}og02?6=9rB9;<5rnd14>5<6sA8<=6sae2:94?7|@;=:7p`j3883>4}O:>;0qck<a;295~N5?81vbh=m:182M4092wei>m50;3xL7163tdn?i4?:0yK627<ugo8i7>51zJ134=zfl9m6=4>{I045>{im=:1<7?tH352?xhb<80;6<uG2638ykc3:3:1=vF=709~j`24290:wE<81:ma12=83;pD?9>;|lf00<728qC>:?4}og72?6=9rB9;<5rnd64>5<6sA8<=6sae5:94?7|@;=:7p`j4883>4}O:>;0qck;a;295~N5?81vbh:l:182M4092wei9j50;3xL7163tdn8h4?:0yK627<ugo?j7>51zJ134=zfl?;6=4>{I045>{im<;1<7?tH352?xhb=;0;6<uG2638ykc2;3:1=vF=709~j`33290:wE<81:ma03=83;pD?9>;|lf13<728qC>:?4}og63?6=9rB9;<5rnd7;>5<6sA8<=6sae4;94?7|@;=:7p`j5`83>4}O:>;0qck:b;295~N5?81vbh;l:182M4092wei8j50;3xL7163tdn9h4?:0yK627<ugo>j7>51zJ134=zfl<;6=4>{I045>{im?;1<7?tH352?xhb>;0;6<uG2638ykc1;3:1=vF=709~j`03290:wE<81:ma33=83;pD?9>;|lf23<728qC>:?4}og53?6=9rB9;<5rnd4;>5<6sA8<=6sae7;94?7|@;=:7p`j6`83>4}O:>;0qck9b;295~N5?81vbh8l:182M4092wei;j50;3xL7163tdn:h4?:0yK627<ugo=j7>51zJ134=zfl=;6=4>{I045>{im>;1<7?tH352?xhb?;0;6<uG2638ykc0;3:1=vF=709~j`13290:wE<81:ma23=83;pD?9>;|lf33<728qC>:?4}og43?6=9rB9;<5rnd5;>5<6sA8<=6sae6;94?7|@;=:7p`j7`83>4}O:>;0qck8b;295~N5?81vbh9l:182M4092wei:j50;3xL7163tdn;h4?:0yK627<ugo<j7>51zJ134=zfl2;6=4>{I045>{im1;1<7?tH352?xhb0;0;6<uG2638ykc?;3:1=vF=709~j`>3290:wE<81:ma=3=83;pD?9>;|lf<3<728qC>:?4}og;3?6=9rB9;<5rnd:;>5<6sA8<=6sae9;94?7|@;=:7p`j8`83>4}O:>;0qck7b;295~N5?81vbh6l:182M4092wei5j50;3xL7163tdn4h4?:0yK627<ugo3j7>51zJ134=zfl3;6=4>{I045>{im0;1<7?tH352?xhb1;0;6<uG2638ykc>;3:1=vF=709~j`?3290:wE<81:ma<3=83;pD?9>;|lf=3<728qC>:?4}og:3?6=9rB9;<5rnd;;>5<6sA8<=6sae8;94?7|@;=:7p`j9`83>4}O:>;0qcknc;295~N5?81vbhok:182M4092weilk50;3xL7163tdnmk4?:0yK627<ugoi<7>51zJ134=zflh:6=4>{I045>{imk81<7?tH352?xhbj:0;6<uG2638ykce<3:1=vF=709~j`d2290:wE<81:mag0=83;pD?9>;|lff2<728qC>:?4}oga<?6=9rB9;<5rnd`:>5<6sA8<=6saecc94?7|@;=:7p`jbc83>4}O:>;0qckmc;295~N5?81vbhlk:182M4092weioh50;3xL7163tdno=4?:0yK627<ugoh=7>51zJ134=zfli96=4>{I045>{imj91<7?tH352?xhbk=0;6<uG2638ykcd=3:1=vF=709~j`e1290:wE<81:maf1=83;pD?9>;|lfg=<728qC>:?4}og`=?6=9rB9;<5rndab>5<6sA8<=6saeb`94?7|@;=:7p`jcb83>4}O:>;0qckld;295~N5?81vbhmj:182M4092weinh50;3xL7163tdnh=4?:0yK627<ugoo=7>51zJ134=zfln96=4>{I045>{imm91<7?tH352?xhbl=0;6<uG2638ykcc=3:1=vF=709~j`b1290:wE<81:maa1=83;pD?9>;|lf`=<728qC>:?4}ogg=?6=9rB9;<5rndfb>5<6sA8<=6saee`94?7|@;=:7p`jdb83>4}O:>;0qckkd;295~N5?81vbhjj:182M4092weiih50;3xL7163tdni=4?:0yK627<ugon=7>51zJ134=zflo96=4>{I045>{iml91<7?tH352?xhbm=0;6<uG2638ykcb=3:1=vF=709~j`c1290:wE<81:ma`1=83;pD?9>;|lfa=<728qC>:?4}ogf=?6=9rB9;<5rndgb>5<6sA8<=6saed`94?7|@;=:7p`jeb83>4}O:>;0qckjd;295~N5?81vbhkj:182M4092weihh50;3xL7163tdnj=4?:0yK627<ugom=7>51zJ134=zfll96=4>{I045>{imo91<7?tH352?xhbn=0;6<uG2638ykca=3:1=vF=709~j``1290:wE<81:mac1=83;pD?9>;|lfb=<728qC>:?4}oge=?6=9rB9;<5rnddb>5<6sA8<=6saeg`94?7|@;=:7p`jfb83>4}O:>;0qckid;295~N5?81vbhhj:182M4092weikh50;3xL7163tdm<=4?:0yK627<ugl;=7>51zJ134=zfo:96=4>{I045>{in991<7?tH352?xha8=0;6<uG2638yk`7=3:1=vF=709~jc61290:wE<81:mb51=83;pD?9>;|le4=<728qC>:?4}od3=?6=9rB9;<5rng2b>5<6sA8<=6saf1`94?7|@;=:7p`i0b83>4}O:>;0qch?d;295~N5?81vbk?i:182M4092wej?>50;3xL7163tdm><4?:0yK627<ugl9>7>51zJ134=zfo886=4>{I045>{in;>1<7?tH352?xha:<0;6<uG2638yk`5>3:1=vF=709~jc40290:wE<81:mb7>=83;pD?9>;|le6<<728qC>:?4}od1e?6=9rB9;<5rng0a>5<6sA8<=6saf3a94?7|@;=:7p`i2e83>4}O:>;0qch=e;295~N5?81vbk<i:182M4092wej>>50;3xL7163tdm4?4?:0yK627<ugl3?7>51zJ134=zfo2?6=4>{I045>{in1?1<7?tH352?xha0?0;6<uG2638yk`??3:1=vF=709~jc>?290:wE<81:mb=?=83;pD?9>;|le<d<728qC>:?4}od;f?6=9rB9;<5rng:`>5<6sA8<=6saf9f94?7|@;=:7p`i8d83>4}O:>;0qch7f;295~N5?81vbk7?:182M4092wej4?50;3xL7163tdm5?4?:0yK627<ugl2?7>51zJ134=zfo3?6=4>{I045>{in0?1<7?tH352?xha1?0;6<uG2638yk`>?3:1=vF=709~jc??290:wE<81:mb<?=83;pD?9>;|le=d<728qC>:?4}od:f?6=9rB9;<5rng;`>5<6sA8<=6saf8f94?7|@;=:7p`i9d83>4}O:>;0qch6f;295~N5?81vbko?:182M4092wejl?50;3xL7163tdmm?4?:0yK627<uglj?7>51zJ134=zfok?6=4>{I045>{inh?1<7?tH352?xhai?0;6<uG2638yk`f?3:1=vF=709~jcg?290:wE<81:mbd?=83;pD?9>;|leed<728qC>:?4}odbf?6=9rB9;<5rngc`>5<6sA8<=6saf`f94?7|@;=:7p`iad83>4}O:>;0qchnf;295~N5?81vbkl?:182M4092wejo?50;3xL7163tdmn?4?:0yK627<ugli?7>51zJ134=zfoh?6=4>{I045>{ink?1<7?tH352?xhaj?0;6<uG2638yk`e?3:1=vF=709~jcd?290:wE<81:mbg?=83;pD?9>;|lefd<728qC>:?4}odaf?6=9rB9;<5rng``>5<6sA8<=6safcf94?7|@;=:7p`ibd83>4}O:>;0qchmf;295~N5?81vbkm?:182M4092wejn?50;3xL7163tdmo?4?:0yK627<uglh?7>51zJ134=zfoi?6=4>{I045>{inj?1<7?tH352?xhak?0;6<uG2638yk`d?3:1=vF=709~jce?290:wE<81:mbf?=83;pD?9>;|legd<728qC>:?4}od`f?6=9rB9;<5rnga`>5<6sA8<=6safbf94?7|@;=:7p`icd83>4}O:>;0qchlf;295~N5?81vbkj?:182M4092weji?50;3xL7163tdmh?4?:0yK627<uglo?7>51zJ134=zfon?6=4>{I045>{inm?1<7?tH352?xhal?0;6<uG2638yk`c?3:1=vF=709~jcb?290:wE<81:mba?=83;pD?9>;|le`d<728qC>:?4}odgf?6=9rB9;<5rngf`>5<6sA8<=6safef94?7|@;=:7p`idd83>4}O:>;0qchkf;295~N5?81vbkk?:182M4092wejh?50;3xL7163tdmi?4?:0yK627<ugln?7>51zJ134=zfoo?6=4>{I045>{inl?1<7?tH352?xham?0;6<uG2638yk`b?3:1=vF=709~jcc?290:wE<81:mb`?=83;pD?9>;|lead<728qC>:?4}odff?6=9rB9;<5rngg`>5<6sA8<=6safdf94?7|@;=:7p`ied83>4}O:>;0qchjf;295~N5?81vbkh?:182M4092wejk?50;3xL7163tdmj?4?:0yK627<uglm?7>51zJ134=zfol?6=4>{I045>{ino?1<7?tH352?xhan?0;6<uG2638yk`a?3:1=vF=709~jc`?290:wE<81:mbc?=83;pD?9>;|lebd<728qC>:?4}odef?6=9rB9;<5rngd`>5<6sA8<=6safgf94?7|@;=:7p`ifd83>4}O:>;0qchif;295~N5?81vb<>?0;295~N5?81vb<>?1;295~N5?81vb<>?2;295~N5?81vb<>?3;295~N5?81vb<>?4;295~N5?81vb<>?5;295~N5?81vb<>?6;295~N5?81vb<>?7;295~N5?81vb<>?8;295~N5?81vb<>?9;295~N5?81vb<>?a;295~N5?81vb<>?b;295~N5?81vb<>?c;295~N5?81vb<>?d;295~N5?81vb<>?e;295~N5?81vb<>?f;295~N5?81vb<>>0;295~N5?81vb<>>1;295~N5?81vb<>>2;295~N5?81vb<>>3;295~N5?81vb<>>4;295~N5?81vb<>>5;295~N5?81vb<>>6;295~N5?81vb<>>7;295~N5?81vb<>>8;295~N5?81vb<>>9;295~N5?81vb<>>a;295~N5?81vb<>>b;295~N5?81vb<>>c;295~N5?81vb<>>d;295~N5?81vb<>>e;295~N5?81vb<>>f;295~N5?81vb<>=0;295~N5?81vb<>=1;295~N5?81vb<>=2;295~N5?81vb<>=3;295~N5?81vb<>=4;295~N5?81vb<>=5;295~N5?81vb<>=6;295~N5?81vb<>=7;295~N5?81vb<>=8;295~N5?81vb<>=9;295~N5?81vb<>=a;295~N5?81vb<>=b;295~N5?81vb<>=c;295~N5?81vb<>=d;295~N5?81vb<>=e;295~N5?81vb<>=f;295~N5?81vb<><0;295~N5?81vb<><1;295~N5?81vb<><2;295~N5?81vb<><3;295~N5?81vb<><4;295~N5?81vb<><5;295~N5?81vb<><6;295~N5?81vb<><7;295~N5?81vb<><8;295~N5?81vb<><9;295~N5?81vb<><a;295~N5?81vb<><b;295~N5?81vb<><c;295~N5?81vb<><d;295~N5?81vb<><e;295~N5?81vb<><f;295~N5?81vb<>;0;295~N5?81vb<>;1;295~N5?81vb<>;2;295~N5?81vb<>;3;295~N5?81vb<>;4;295~N6?;1C>:?4}|~DEE|9:;i6ih9f`aa7xFGJr:vLM^t}AB \ No newline at end of file +$`fe44<,[o}e~g`n;"2*73>(-80!<?40593456638;97<95IORVP?BNF5;=6=0>4:34>JSSX\^1HB[[<0494;773821EC^ZT;C?52<768:0=54FNQWW>G:6?3:5=>5>8;KMTPR=JJCE0<950?33?4>=AGZ^X7J31683:44<910BB][[:EKM841=87;>7<65OTVSQQ<EKF__0<950?37?4>=G\^[YY4KOTV?52<768:0=7GAPTV9@LH;83:5=?5>:NWWTPR=LF__0=4?>09155=593CE\XZ5A=03>586828:6D@_UU8A876=87;;7??5IORVP?g;:90;2<>4208JJUSS2k69<7>113902?OIX\^1HD@33483:42<;?0DYY^ZT;FLQQ:4=3:5=<5<6;MVPUSS2D6897>11197<?OIX\^1O1:8:1<27>2?2@D[YY4_CHL?02<768;0854@UURVP?V;<>0;2<;4498LQQVR\3ZHCXZ34683:7=218:097GAPTV9@LH;<3:5=?5::NWWTPR=LF__094?>595=D333?3H?959C81f?3ukp=?hj==4,025>172F__\XZ5S=4:>58692=;6B[[PTV9w90>294?7:67709;56=?2@D[YY4@PLMGA91=8780M=;4A1PFC7=F9<1J=_KH2:C16>G4<2K2M;:4A=2=1>G;994>7L2>1?78E9756<1J0<=15:C?51823H6:93;4A=35:2=F48=1<3;4A=34:0=F482596O318<7?D:66>1J0?>50?78E9476=1J0?0;;@>0:1=F4=4?7L2:>59B83833H6<295N<9<7?D:>6=1JM48>;C78F5TBO<1I=_KH4:@?4;3<J5;;285M<03=1>D;9;4>7O2>3?78F9736<1I0<;15:@?53803K6:;7>15:@?52823K6:43;4B=3::1=E484<7O2=0;2=1>D;:94?7O2=>59A86833K6?295M<4<7?G:16=1I0:0;;C>;:1=E404<7OMFN=2=<>DDAG6:<364BBKM847902HHEC2>2?:8FFOI489546LLIO>20;><JJCE0<;18:@@MK:6>7k0NNGA<0594;><JJCE0<917:@@MK:66>1IOD@32?58FFOI4:4<7OMFN=6=3>DDAG6>2:5MCHL?2;1<JJCE0:08;CAJJ9>9?2HHEC26>99AGJSS49427OM@UU>24;?<JJE^X1?>>89AGJSS488556LLOTV?568>3KIDYY2>4?;8FFIR\5;>245MCNWW8409j2HHCXZ31683:<=EKF__0<918:@@KPR;9720NNAZT=0=<>DDG\^7?364BBMVP92902HHCXZ35?:8FFIR\5<546LLOTV?3;><JJE^X1618:@@KPR;1720NX]PIODL2>D^XHYX=6M;;B>3:0=D48:596M310<6?F:6:7?0O1?<>49@8429=2I7=80:;B>22;3<K5;<285L<0:=1>E;904?7N2>>49@8769=2I7><0:;B>16;3<K588285L<36=1>E;:<4>7N2=6?78G9406<1H0?615:A?6<833J69285L<22=1>E;;84>7N2<2?78G9546<1H0>:15:A?70823J68:3;4C=14:0=D4:2596M338<7?F:46<1H09>15:A?04823J6?>3;4C=60:0=D4=>596M344<6?F:3>7=0O1:8:1<6?F:3?7>0O1:14:A?1;2<K5<586M37?68G9>9<2I75394C@PQ]LHf3JKY^TGARDEb?FGUZPCE_HB7;BCQV\IR\01HM_\VOTVEf>EFZ[SDYY\JG59@G<053JO87NKN3:AFF6=DMJ30OHMNRS[JJ6=DMM90OHC7;BGLTHICM:1HI]?=;BJFGNYKAJOE_HQ[YQG1?FO43JF@86MCK148GIM609<0OAE=7178GIM5P11H@F<W1926?FJL19?0OAEN169@HNG6L;=0OAEN1E64?FJLI8N396MCKC36?FJLK8?0OAEK149@HNBQk2IGGIXPDHTJ@@3<KEAMT55LLJD[5=6>3JEFADZ[EE37?FIUMVMNBH\NTHMM[LHAG>1H^HO[EE68GWC@92N?7I2?>49G8469=2N7=<0:;E>26;3<L5;8285K<06=1>B;9<4>7I2>6?58@970294>7I2>7?68@979<2N7>3:4D=1=0>B;<7>0H1;14:F?2;2<L5=586J38?68@9?9:2NB46JFN=294;0<L@D7<394DHL?55803MCE0<?17:FJJ9756>1OEC2>3?58@LH;9=4<7IGA<07==>BNF5;=6=08;EKM840912NBB1?8:1<4?AOI48=5;6JFN=3;:2=CAG6:5384DHL?5;1<L@D7>=08;EKM8779?2NBB1<=>69GMK:5;7=0HD@325<4?AOI4;?5;6JFN=05:2=CAG69;394DHL?6=803MCE0?716:FJJ949?2NBB1=?>69GMK:497=0HD@333<4?AOI4:95;6JFN=17:<=CAG6897>17:FJJ9526?1OEC2<>99GMK:3294=7IGA<5<5?AOI4<4=7IGA<7<5?AOI4>4=7IGA<9<5?AOI40427IAZT=294;1<LF__0=07;EMVP977611OCXZ310<;?AIR\5;9255KOTV?568?3ME^X1?;>99GKPR;9<4j7IAZT=35>58?3ME^X1?9>`9GKPR;9>0;255KOTV?528?3ME^X1?7>99GKPR;904<7IAZT=3=<>BH]]69<364DNWW877902NDYY2=2?:8@JSS4;9546J@UU>10;><LF__0?;18:FLQQ:5>720HB[[<35=<>BH]]694364DNWW87?9?2NDYY2=>99GKPR;;9437IAZT=12:==CG\^7??07;EMVP954611OCXZ335<b?AIR\59>6=07;EMVP9526>1OCXZ33?;8@JSS4=0;2:5KOTV?0;1<LF__0808;EMVP909?2NDYY28>69GKPR;07=0HB[[<8<7?ATBO>1O_]:7A018BAC33ONYI95IDRG2?B5<OGN:7D<4I108M44<A;80E>74IOKWWQGSM:1BB[<4LH48HJGCMM<0@BMDEE58HJANKHF?7A[[259OQQ533E__895B<1<6?H:687?0A1?>>49N8449=2G7=>0:;L>20;3<E5;>285B<04=1>K;9>4>7@2>8?78I97>6=1F0<0:;L>14;3<E58:285B<30=1>K;::4>7@2=4?78I9426<1F0?815:O?62823D6943;4M=0::1=J4;4>7@2<0?78I9566<1F0><15:O?76823D688394M=16>5823D6893:4M=1=0>K;<7>0A1;14:O?2;2<E5=586C38?68I9?9<2GYIJ;4MTZ@]f=JiceyZh||inl`?Hoig{\n~~g`n69MAQQHZB=0BBCJRFG2?J1<GYGDHH;6;NRNKAC;8730C]C@DD>2:<=HXDEOI1<19:MSIJBB4:427B^BOEG?0;?<GYGDHH2:>89LTHICM5<5n6A_MNFF82<7601D\@AKE=5==>IWEFNN^HI>7:MSPLKNRLU[^DCFTHTFWZH@Kh1Dnk{|c`vlv4=Wk2Z%>=?<1130[I2<X5:596^311<6?U:697?0\1?=>49S8459=2Z7=90:;Q>21;3<X5;=285_<05=1>V;914>7]2>9?68T979=2Z7>=0:;Q>15;3<X589285_<31=1>V;:=4>7]2=5?78T9416<1[0?915:R?6=823Y6953:4P=0=1>V;;94>7]2<1?78T9556<1[0>=15:R?71823Y6893;4P=15:0=W4:=596^339<6?U:417>0\1=15:R?05823Y6?=3;4P=61:0=W4=9596^345<6?U:3=7?0\1:9>69S811=87?0\1:8>59S81833Y6>295_<7<7?U:06=1[050;;Q>::1=WI[^<7]MFN=2=<>VDAG6:<364PBKM847902ZHEC2>2?:8TFOI489546^LIO>20;><XJCE0<;18:R@MK:6>720\NGA<05=<>VDAG6:4364PBKM84?9?2ZHEC2>>99SGLH;:9437]MFN=02:==WK@D7>?07;QAJJ944611[OD@325<;?UENF58>255_CHL?638?3YIBB1<8>99SGLH;:1437]MFN=0::2=WK@D7>364PBKM866902ZHEC2<1?:8TFOI4:8546^LIO>07;><XJCE0>:18:R@MK:4=720\NGA<24=<>VDAG68;364PBKM86>902ZHEC2<9?58TFOI4:437]MFN=63:==WK@D78<07;QAJJ925611[OD@342<;?UENF5>?255_CHL?008?3YIBB1:9>`9SGLH;<>0;255_CHL?02803YIBB1:17:R@MK:26>1[OD@36?58TFOI4>4<7]MFN=:=3>VDAG62255_CNWW858>3YIDYY2>0?;8TFIR\5;:245_CNWW844912ZHCXZ312<:?UEH]]6:8374PBMVP972601[OB[[<04==>VDG\^7=:06;QALQQ:60730\NAZT=3::==WKF__0<06;QALQQ:58730\NAZT=02:<=WKF__0?<19:R@KPR;::427]M@UU>10;?<XJE^X1<:>89SGJSS4;<556^LOTV?628>3YIDYY2=8?;8TFIR\582255_CNWW878>3YIDYY2<0?;8TFIR\59:245_CNWW864912ZHCXZ332<:?UEH]]688374PBMVP952601[OB[[<24==>VDG\^7?:06;QALQQ:40730\NAZT=1::==WKF__0>06;QALQQ:38730\NAZT=62:<=WKF__09<19:R@KPR;<:427]M@UU>70;?<XJE^X1::>89SGJSS4=<5n6^LOTV?02<7601[OB[[<55=<>VDG\^78364PBMVP93902ZHCXZ36?:8TFIR\5=546^LOTV?<;><XJE^X171a:RJJZDR[@NSn6^FN^@VWKGJM=1[^HI9;QQGKKC63X90]<;>;S68VVRF<2XXXO:4RRV@e>TT\JKY^TGA4:PPPA2<ZZ^F56\\TNRNKAC33[Y_\:5]SUVZT@7<[=1X0=0:;R>24;3<[5;:285\<00=1>U;9:4>7^2>4?78W9726<1X0<815:Q?52823Z6:43;4S=3::1=T484>7^2=0?78W9466<1X0?<15:Q?66823Z6983;4S=06:0=T4;<596]326<6?V:507?0_1<6>59P87823Z68<3;4S=12:0=T4:8596]332<6?V:4<7?0_1=:>49P8609=2Y7?:0:;R>0<;3<[592295\<2<6?V:387?0_1:>>49P8149=2Y78>0:;R>70;3<[5>>285\<54=1>U;<>4>7^2;8?78W92>6=1X090:;R>64;3<[5?:285\<40=1>U;=:4>7^2:4?78W9326<1X08815:Q?12823Z6>43;4S=7::1=T4<4>7^290?78W9066<1X0;<15:Q?26823Z6=83;4S=46:0=T4?<596]366<6?V:107=0_186:1<6?V:117>0_1814:Q?3;2<[52586]39?68WFJU981XD_KH_DZWVDESWGMHi6]GRDE\ILHX[@PN46]DIQ]SMK0<[F_YOH94SSN@53C13Z^JXX]7;RWAPWGD\=1XUCM;;U[SAf=R[LXTZD]FBMG0?SED12\BIZQ[YQG2`>^ND@DS!UJM 1,2$VRRJ):%=-O\CHK6?]IUKP<0T^ZCIC`8\ZEHZLUBBKA9;Yfa[Lba3QncS]|fmWgqwlii991Sh`QBakmqR`ttafd:<6Vkm^OjjjtQm{ybcc=4Xrv0?\ct<2k7<3;4a=33:0=f48;596o313<6?d:6;7?0m1?;>49b8439=2k7=;0:;`>23;3<i5;3285n<0;=0>g;97=0m1<?:1<6?d:587>0m1<14:c?7;2<i5>586o35?68e909<2k7;3:4a=:=0>g;17>0n1>15:`?55823k6:=3;4b=31:0=e489596l315<6?g:6=7?0n1?9>49a8419=2h7=50:;c>2=;2<j5;5;6l32183:0=e4;:586l32?68f959<2h783:4b=7=0>d;>7>0n1914:`?<;2<j535n6lck12345679k1i`f>?012347d<jea;<=>?011a?gjl89:;<=>;b:`oo56789:;9o5mlj2345678?h0nae?0123451e3kf`<=>?012;f>dkc9:;<=>?9c9ahn6789:;<ll4bmi3456789hi7obd0123456dj2hgg=>?0123`g=edb:;<=>?0d`8fim789:;<=hm;cnh456789;;n6lck12345669k1i`f>?012357d<jea;<=>?001a?gjl89:;<=?;b:`oo56789::9o5mlj2345679?h0nae?0123441e3kf`<=>?013;f>dkc9:;<=>>9c9ahn6789:;=ll4bmi3456788hi7obd0123457dj2hgg=>?0122`g=edb:;<=>?1d`8fim789:;<<hm;cnh4567898;n6lck12345659k1i`f>?012367d<jea;<=>?031a?gjl89:;<=<;b:`oo56789:99o5mlj234567:?h0nae?0123471e3kf`<=>?010;f>dkc9:;<=>=9c9ahn6789:;>ll4bmi345678;hi7obd0123454dj2hgg=>?0121`g=edb:;<=>?2d`8fim789:;<?hm;cnh4567899;n6lck12345649k1i`f>?012377d<jea;<=>?021a?gjl89:;<==;b:`oo56789:89o5mlj234567;?h0nae?0123461e3kf`<=>?011;f>dkc9:;<=><9c9ahn6789:;?ll4bmi345678:hi7obd0123455dj2hgg=>?0120`g=edb:;<=>?3d`8fim789:;<>hm;cnh456789>;n6lck12345639k1i`f>?012307d<jea;<=>?051a?gjl89:;<=:;b:`oo56789:?9o5mlj234567<?h0nae?0123411e3kf`<=>?016;f>dkc9:;<=>;9c9ahn6789:;8ll4bmi345678=hi7obd0123452dj2hgg=>?0127`g=edb:;<=>?4d`8fim789:;<9hm;cnh456789?;n6lck12345629k1i`f>?012317d<jea;<=>?041a?gjl89:;<=;;b:`oo56789:>9o5mlj234567=?h0nae?0123401e3kf`<=>?017;f>dkc9:;<=>:9c9ahn6789:;9ll4bmi345678<hi7obd0123453dj2hgg=>?0126`g=edb:;<=>?5d`8fim789:;<8hm;cnh456789<;n6lck12345619k1i`f>?012327d<jea;<=>?071a?gjl89:;<=8;b:`oo56789:=9o5mlj234567>?h0nae?0123431e3kf`<=>?014;f>dkc9:;<=>99c9ahn6789:;:ll4bmi345678?hi7obd0123450dj2hgg=>?0125`g=edb:;<=>?6d`8fim789:;<;hm;cnh456789=;n6lck12345609k1i`f>?012337d<jea;<=>?061a?gjl89:;<=9;b:`oo56789:<9o5mlj234567??h0nae?0123421e3kf`<=>?015;f>dkc9:;<=>89c9ahn6789:;;ll4bmi345678>hi7obd0123451dj2hgg=>?0124`g=edb:;<=>?7d`8fim789:;<:hm;cnh4567892;n6lck123456?9k1i`f>?0123<7d<jea;<=>?091a?gjl89:;<=6;b:`oo56789:39o5mlj2345670?h0nae?01234=1e3kf`<=>?01:;f>dkc9:;<=>79c9ahn6789:;4ll4bmi3456781hi7obd012345>dj2hgg=>?012;`g=edb:;<=>?8d`8fim789:;<5hm;cnh4567893;n6lck123456>9k1i`f>?0123=7d<jea;<=>?081a?gjl89:;<=7;b:`oo56789:29o5mlj2345671?h0nae?01234<1e3kf`<=>?01;;f>dkc9:;<=>69c9ahn6789:;5ll4bmi3456780hi7obd012345?dj2hgg=>?012:`g=edb:;<=>?9d`8fim789:;<4hm;cnh456789k;n6lck123456f9k1i`f>?0123e7d<jea;<=>?0`1a?gjl89:;<=o;b:`oo56789:j9o5mlj234567i?h0nae?01234d1e3kf`<=>?01c;f>dkc9:;<=>n9c9ahn6789:;mll4bmi345678hhi7obd012345gdj2hgg=>?012b`g=edb:;<=>?ad`8fim789:;<lhm;cnh456789h;n6lck123456e9k1i`f>?0123f7d<jea;<=>?0c1a?gjl89:;<=l;b:`oo56789:i9o5mlj234567j?h0nae?01234g1e3kf`<=>?01`;f>dkc9:;<=>m9c9ahn6789:;nll4bmi345678khi7obd012345ddj2hgg=>?012a`g=edb:;<=>?bd`8fim789:;<ohm;cnh456789i;n6lck123456d9k1i`f>?0123g7d<jea;<=>?0b1a?gjl89:;<=m;b:`oo56789:h9o5mlj234567k?h0nae?01234f1e3kf`<=>?01a;f>dkc9:;<=>l9c9ahn6789:;oll4bmi345678jhi7obd012345edj2hgg=>?012``g=edb:;<=>?cd`8fim789:;<nhm;cnh456789n;n6lck123456c9k1i`f>?0123`7d<jea;<=>?0e1a?gjl89:;<=j;b:`oo56789:o9o5mlj234567l?h0nae?01234a1e3kf`<=>?01f;f>dkc9:;<=>k9c9ahn6789:;hll4bmi345678mhi7obd012345bdj2hgg=>?012g`g=edb:;<=>?dd`8fim789:;<ihm;cnh456789o;n6lck123456b9k1i`f>?0123a7d<jea;<=>?0d1a?gjl89:;<=k;b:`oo56789:n9o5mlj234567m?h0nae?01234`1e3kf`<=>?01g;f>dkc9:;<=>j9c9ahn6789:;ill4bmi345678lhi7obd012345cdj2hgg=>?012f`g=edb:;<=>?ed`8fim789:;<hhm;cnh456789l;n6lck123456a9k1i`f>?0123b7d<jea;<=>?0g1a?gjl89:;<=h;b:`oo56789:m9o5mlj234567n?h0nae?01234c1e3kf`<=>?01d;f>dkc9:;<=>i9c9ahn6789:;jll4bmi345678ohi7obd012345`dj2hgg=>?012e`g=edb:;<=>?fd`8fim789:;<khm;cnh456788:;n6lck12345779k1i`f>?012247d<jea;<=>?111a?gjl89:;<<>;b:`oo56789;;9o5mlj2345668?h0nae?0123551e3kf`<=>?002;f>dkc9:;<=??9c9ahn6789::<ll4bmi3456799hi7obd0123446dj2hgg=>?0133`g=edb:;<=>>0d`8fim789:;==hm;cnh456788;;n6lck12345769k1i`f>?012257d<jea;<=>?101a?gjl89:;<<?;b:`oo56789;:9o5mlj2345669?h0nae?0123541e3kf`<=>?003;f>dkc9:;<=?>9c9ahn6789::=ll4bmi3456798hi7obd0123447dj2hgg=>?0132`g=edb:;<=>>1d`8fim789:;=<hm;cnh4567888;n6lck12345759k1i`f>?012267d<jea;<=>?131a?gjl89:;<<<;b:`oo56789;99o5mlj234566:?h0nae?0123571e3kf`<=>?000;f>dkc9:;<=?=9c9ahn6789::>ll4bmi345679;hi7obd0123444dj2hgg=>?0131`g=edb:;<=>>2d`8fim789:;=?hm;cnh4567889;n6lck12345749k1i`f>?012277d<jea;<=>?121a?gjl89:;<<=;b:`oo56789;89o5mlj234566;?h0nae?0123561e3kf`<=>?001;f>dkc9:;<=?<9c9ahn6789::?ll4bmi345679:hi7obd0123445dj2hgg=>?0130`g=edb:;<=>>3d`8fim789:;=>hm;cnh456788>;n6lck12345739k1i`f>?012207d<jea;<=>?151a?gjl89:;<<:;b:`oo56789;?9o5mlj234566<?h0nae?0123511e3kf`<=>?006;f>dkc9:;<=?;9c9ahn6789::8ll4bmi345679=hi7obd0123442dj2hgg=>?0137`g=edb:;<=>>4d`8fim789:;=9hm;cnh456788?;n6lck12345729k1i`f>?012217d<jea;<=>?141a?gjl89:;<<;;b:`oo56789;>9o5mlj234566=?h0nae?0123501e3kf`<=>?007;f>dkc9:;<=?:9c9ahn6789::9ll4bmi345679<hi7obd0123443dj2hgg=>?0136`g=edb:;<=>>5d`8fim789:;=8hm;cnh456788<;n6lck12345719k1i`f>?012227d<jea;<=>?171a?gjl89:;<<8;b:`oo56789;=9o5mlj234566>?h0nae?0123531e3kf`<=>?004;f>dkc9:;<=?99c9ahn6789:::ll4bmi345679?hi7obd0123440dj2hgg=>?0135`g=edb:;<=>>6d`8fim789:;=;hm;cnh456788=;n6lck12345709k1i`f>?012237d<jea;<=>?161a?gjl89:;<<9;b:`oo56789;<9o5mlj234566??h0nae?0123521e3kf`<=>?005;f>dkc9:;<=?89c9ahn6789::;ll4bmi345679>hi7obd0123441dj2hgg=>?0134`g=edb:;<=>>7d`8fim789:;=:hm;cnh4567882;n6lck123457?9k1i`f>?0122<7d<jea;<=>?191a?gjl89:;<<6;b:`oo56789;39o5mlj2345660?h0nae?01235=1e3kf`<=>?00:;f>dkc9:;<=?79c9ahn6789::4ll4bmi3456791hi7obd012344>dj2hgg=>?013;`g=edb:;<=>>8d`8fim789:;=5hm;cnh4567883;n6lck123457>9k1i`f>?0122=7d<jea;<=>?181a?gjl89:;<<7;b:`oo56789;29o5mlj2345661?h0nae?01235<1e3kf`<=>?00;;f>dkc9:;<=?69c9ahn6789::5ll4bmi3456790hi7obd012344?dj2hgg=>?013:`g=edb:;<=>>9d`8fim789:;=4hm;cnh456788k;n6lck123457f9k1i`f>?0122e7d<jea;<=>?1`1a?gjl89:;<<o;b:`oo56789;j9o5mlj234566i?h0nae?01235d1e3kf`<=>?00c;f>dkc9:;<=?n9c9ahn6789::mll4bmi345679hhi7obd012344gdj2hgg=>?013b`g=edb:;<=>>ad`8fim789:;=lhm;cnh456788h;n6lck123457e9k1i`f>?0122f7d<jea;<=>?1c1a?gjl89:;<<l;b:`oo56789;i9o5mlj234566j?h0nae?01235g1e3kf`<=>?00`;f>dkc9:;<=?m9c9ahn6789::nll4bmi345679khi7obd012344ddj2hgg=>?013a`g=edb:;<=>>bd`8fim789:;=ohm;cnh456788i;n6lck123457d9k1i`f>?0122g7d<jea;<=>?1b1a?gjl89:;<<m;b:`oo56789;h9o5mlj234566k?h0nae?01235f1e3kf`<=>?00a;f>dkc9:;<=?l9c9ahn6789::oll4bmi345679jhi7obd012344edj2hgg=>?013``g=edb:;<=>>cd`8fim789:;=nhm;cnh456788n;n6lck123457c9k1i`f>?0122`7d<jea;<=>?1e1a?gjl89:;<<j;b:`oo56789;o9o5mlj234566l?h0nae?01235a1e3kf`<=>?00f;f>dkc9:;<=?k9c9ahn6789::hll4bmi345679mhi7obd012344bdj2hgg=>?013g`g=edb:;<=>>dd`8fim789:;=ihm;cnh456788o;n6lck123457b9k1i`f>?0122a7d<jea;<=>?1d1a?gjl89:;<<k;b:`oo56789;n9o5mlj234566m?h0nae?01235`1e3kf`<=>?00g;f>dkc9:;<=?j9c9ahn6789::ill4bmi345679lhi7obd012344cdj2hgg=>?013f`g=edb:;<=>>ed`8fim789:;=hhm;cnh456788l;n6lck123457a9k1i`f>?0122b7d<jea;<=>?1g1a?gjl89:;<<h;b:`oo56789;m9o5mlj234566n?h0nae?01235c1e3kf`<=>?00d;f>dkc9:;<=?i9c9ahn6789::jll4bmi345679ohi7obd012344`dj2hgg=>?013e`g=edb:;<=>>fd`8fim789:;=khm;cnh45678;:;n6lck12345479k1i`f>?012147d<jea;<=>?211a?gjl89:;<?>;b:`oo567898;9o5mlj2345658?h0nae?0123651e3kf`<=>?032;f>dkc9:;<=<?9c9ahn6789:9<ll4bmi34567:9hi7obd0123476dj2hgg=>?0103`g=edb:;<=>=0d`8fim789:;>=hm;cnh45678;;;n6lck12345469k1i`f>?012157d<jea;<=>?201a?gjl89:;<??;b:`oo567898:9o5mlj2345659?h0nae?0123641e3kf`<=>?033;f>dkc9:;<=<>9c9ahn6789:9=ll4bmi34567:8hi7obd0123477dj2hgg=>?0102`g=edb:;<=>=1d`8fim789:;><hm;cnh45678;8;n6lck12345459k1i`f>?012167d<jea;<=>?231a?gjl89:;<?<;b:`oo56789899o5mlj234565:?h0nae?0123671e3kf`<=>?030;f>dkc9:;<=<=9c9ahn6789:9>ll4bmi34567:;hi7obd0123474dj2hgg=>?0101`g=edb:;<=>=2d`8fim789:;>?hm;cnh45678;9;n6lck12345449k1i`f>?012177d<jea;<=>?221a?gjl89:;<?=;b:`oo56789889o5mlj234565;?h0nae?0123661e3kf`<=>?031;f>dkc9:;<=<<9c9ahn6789:9?ll4bmi34567::hi7obd0123475dj2hgg=>?0100`g=edb:;<=>=3d`8fim789:;>>hm;cnh45678;>;n6lck12345439k1i`f>?012107d<jea;<=>?251a?gjl89:;<?:;b:`oo567898?9o5mlj234565<?h0nae?0123611e3kf`<=>?036;f>dkc9:;<=<;9c9ahn6789:98ll4bmi34567:=hi7obd0123472dj2hgg=>?0107`g=edb:;<=>=4d`8fim789:;>9hm;cnh45678;?;n6lck12345429k1i`f>?012117d<jea;<=>?241a?gjl89:;<?;;b:`oo567898>9o5mlj234565=?h0nae?0123601e3kf`<=>?037;f>dkc9:;<=<:9c9ahn6789:99ll4bmi34567:<hi7obd0123473dj2hgg=>?0106`g=edb:;<=>=5d`8fim789:;>8hm;cnh45678;<;n6lck12345419k1i`f>?012127d<jea;<=>?271a?gjl89:;<?8;b:`oo567898=9o5mlj234565>?h0nae?0123631e3kf`<=>?034;f>dkc9:;<=<99c9ahn6789:9:ll4bmi34567:?hi7obd0123470dj2hgg=>?0105`g=edb:;<=>=6d`8fim789:;>;hm;cnh45678;=;n6lck12345409k1i`f>?012137d<jea;<=>?261a?gjl89:;<?9;b:`oo567898<9o5mlj234565??h0nae?0123621e3kf`<=>?035;f>dkc9:;<=<89c9ahn6789:9;ll4bmi34567:>hi7obd0123471dj2hgg=>?0104`g=edb:;<=>=7d`8fim789:;>:hm;cnh45678;2;n6lck123454?9k1i`f>?0121<7d<jea;<=>?291a?gjl89:;<?6;b:`oo56789839o5mlj2345650?h0nae?01236=1e3kf`<=>?03:;f>dkc9:;<=<79c9ahn6789:94ll4bmi34567:1hi7obd012347>dj2hgg=>?010;`g=edb:;<=>=8d`8fim789:;>5hm;cnh45678;3;n6lck123454>9k1i`f>?0121=7d<jea;<=>?281a?gjl89:;<?7;b:`oo56789829o5mlj2345651?<0nt~nsr08g`5<keah7ikcax]omkcX9j1oiaov_mkmaZ4d3mogmtQciog\7f=cmekrSagae^6`?ackipUgeckP5b9gaig~WeceiR8l;egoe|YkagoT;n5kemcz[ioimV2h7ikcax]omkcX1:1o}?=;gcavleXx`znhRolcto26>krd}UlicQy10]3[}usl2g~`yolcto\`vve3dgxdokdBmp553<e|feljkCnq/|gowWdgxlmlul]u6Z6+sjUjSywe<2/gZgX`nd0<>,b]bgfsjWdeoi0>#c^c`gpkX`nd09?,b]a[qwm4;'oRlPwhfwl846$jUiu}o|s^nls86+kVinSbxjrskgavYtkex6<!mPh`q\f|vf{z7: nQcaugmg|;*9%iTcxzPwhfwl817$jUycx`k_u{sa86+kVxiRj|p5:>5)eX~lxic~g{y<2/gZ~cmchikobim{>wugu|hd=q?<4mtnwmdbcKfy'tog_lwopded}dU}>R>#{|:8jbee}`fo>6``9:ms[wctaso?7~2?>49p8469=2y7=<0:;r>26;3<{5;8285|<06=1>u;9<4>7~2>6?78w9706<1x0<615:q?5<833z6:285|<32=1>u;:84>7~2=2?78w9446<1x0?:15:q?60823z69:3;4s=04:0=t4;2596}328<7?v:56<1x0>>15:q?74823z68>3;4s=10:0=t4:>596}334<6?v:4>7?01=8>49p86>9=2y7?40;;r>0:0=t4=:596}340<6?v:3:7?01:<>49p8129=2y7880:;r>72;3<{5><285|<5:=1>u;<04?7~2;>49p8069=2y79<0:;r>66;3<{5?8285|<46=1>u;=<4>7~2:6?78w9306<1x08615:q?1<833z6>285|<72=1>u;>84>7~292?78w9046<1x0;:15:q?20823z6=:3;4s=44:0=t4?25;6}36883:0=t4?3586}36?68w919<2y743:4s=;=0>udd{h0di?0123457e3zcl<=>?0121f>uno9:;<=>?3c9pmb6789:;<9l4she3456789?i7~gh01234561j2ybk=>?01233g=tan:;<=>?09`8wla789:;<=7m;rkd456789:jn6}fg1234567jk1xej>?01234fd<{`m;<=>?01fa?vo`89:;<=>jb:qjc56789:;jo5|if23456799h0di?0123447e3zcl<=>?0131f>uno9:;<=>>3c9pmb6789:;=9l4she3456788?i7~gh01234571j2ybk=>?01223g=tan:;<=>?19`8wla789:;<<7m;rkd456789;jn6}fg1234566jk1xej>?01235fd<{`m;<=>?00fa?vo`89:;<=?jb:qjc56789::jo5|if234567:9h0di?0123477e3zcl<=>?0101f>uno9:;<=>=3c9pmb6789:;>9l4she345678;?i7~gh01234541j2ybk=>?01213g=tan:;<=>?29`8wla789:;<?7m;rkd4567898jn6}fg1234565jk1xej>?01236fd<{`m;<=>?03fa?vo`89:;<=<jb:qjc56789:9jo5|if234567;9h0di?0123467e3zcl<=>?0111f>uno9:;<=><3c9pmb6789:;?9l4she345678:?i7~gh01234551j2ybk=>?01203g=tan:;<=>?39`8wla789:;<>7m;rkd4567899jn6}fg1234564jk1xej>?01237fd<{`m;<=>?02fa?vo`89:;<==jb:qjc56789:8jo5|if234567<9h0di?0123417e3zcl<=>?0161f>uno9:;<=>;3c9pmb6789:;89l4she345678=?i7~gh01234521j2ybk=>?01273g=tan:;<=>?49`8wla789:;<97m;rkd456789>jn6}fg1234563jk1xej>?01230fd<{`m;<=>?05fa?vo`89:;<=:jb:qjc56789:?jo5|if234567=9h0di?0123407e3zcl<=>?0171f>uno9:;<=>:3c9pmb6789:;99l4she345678<?i7~gh01234531j2ybk=>?01263g=tan:;<=>?59`8wla789:;<87m;rkd456789?jn6}fg1234562jk1xej>?01231fd<{`m;<=>?04fa?vo`89:;<=;jb:qjc56789:>jo5|if234567>9h0di?0123437e3zcl<=>?0141f>uno9:;<=>93c9pmb6789:;:9l4she345678??i7~gh01234501j2ybk=>?01253g=tan:;<=>?69`8wla789:;<;7m;rkd456789<jn6}fg1234561jk1xej>?01232fd<{`m;<=>?07fa?vo`89:;<=8jb:qjc56789:=jo5|if234567?9h0di?0123427e3zcl<=>?0151f>uno9:;<=>83c9pmb6789:;;9l4she345678>?i7~gh01234511j2ybk=>?01243g=tan:;<=>?79`8wla789:;<:7m;rkd456789=jn6}fg1234560jk1xej>?01233fd<{`m;<=>?06fa?vo`89:;<=9jb:qjc56789:<jo5|if23456709h0di?01234=7e3zcl<=>?01:1f>uno9:;<=>73c9pmb6789:;49l4she3456781?i7~gh012345>1j2ybk=>?012;3g=tan:;<=>?89`8wla789:;<57m;rkd4567892jn6}fg123456?jk1xej>?0123<fd<{`m;<=>?09fa?vo`89:;<=6jb:qjc56789:3jo5|if23456719h0di?01234<7e3zcl<=>?01;1f>uno9:;<=>63c9pmb6789:;59l4she3456780?i7~gh012345?1j2ybk=>?012:3g=tan:;<=>?99`8wla789:;<47m;rkd4567893jn6}fg123456>jk1xej>?0123=fd<{`m;<=>?08fa?vo`89:;<=7jb:qjc56789:2jo5|if234567i9h0di?01234d7e3zcl<=>?01c1f>uno9:;<=>n3c9pmb6789:;m9l4she345678h?i7~gh012345g1j2ybk=>?012b3g=tan:;<=>?a9`8wla789:;<l7m;rkd456789kjn6}fg123456fjk1xej>?0123efd<{`m;<=>?0`fa?vo`89:;<=ojb:qjc56789:jjo5|if234567j9h0di?01234g7e3zcl<=>?01`1f>uno9:;<=>m3c9pmb6789:;n9l4she345678k?i7~gh012345d1j2ybk=>?012a3g=tan:;<=>?b9`8wla789:;<o7m;rkd456789hjn6}fg123456ejk1xej>?0123ffd<{`m;<=>?0cfa?vo`89:;<=ljb:qjc56789:ijo5|if234567k9h0di?01234f7e3zcl<=>?01a1f>uno9:;<=>l3c9pmb6789:;o9l4she345678j?i7~gh012345e1j2ybk=>?012`3g=tan:;<=>?c9`8wla789:;<n7m;rkd456789ijn6}fg123456djk1xej>?0123gfd<{`m;<=>?0bfa?vo`89:;<=mjb:qjc56789:hjo5|if234567l9h0di?01234a7e3zcl<=>?01f1f>uno9:;<=>k3c9pmb6789:;h9l4she345678m?i7~gh012345b1j2ybk=>?012g3g=tan:;<=>?d9`8wla789:;<i7m;rkd456789njn6}fg123456cjk1xej>?0123`fd<{`m;<=>?0efa?vo`89:;<=jjb:qjc56789:ojo5|if234567m9h0di?01234`7e3zcl<=>?01g1f>uno9:;<=>j3c9pmb6789:;i9l4she345678l?i7~gh012345c1j2ybk=>?012f3g=tan:;<=>?e9`8wla789:;<h7m;rkd456789ojn6}fg123456bjk1xej>?0123afd<{`m;<=>?0dfa?vo`89:;<=kjb:qjc56789:njo5|if234567n9h0di?01234c7e3zcl<=>?01d1f>uno9:;<=>i3c9pmb6789:;j9l4she345678o?i7~gh012345`1j2ybk=>?012e3g=tan:;<=>?f9`8wla789:;<k7m;rkd456789ljn6}fg123456ajk1xej>?0123bfd<{`m;<=>?0gfa?vo`89:;<=hjb:qjc56789:mjo5|if23456689h0di?0123557e3zcl<=>?0021f>uno9:;<=??3c9pmb6789::<9l4she3456799?i7~gh01234461j2ybk=>?01333g=tan:;<=>>09`8wla789:;==7m;rkd456788:jn6}fg1234577jk1xej>?01224fd<{`m;<=>?11fa?vo`89:;<<>jb:qjc56789;;jo5|if23456699h0di?0123547e3zcl<=>?0031f>uno9:;<=?>3c9pmb6789::=9l4she3456798?i7~gh01234471j2ybk=>?01323g=tan:;<=>>19`8wla789:;=<7m;rkd456788;jn6}fg1234576jk1xej>?01225fd<{`m;<=>?10fa?vo`89:;<<?jb:qjc56789;:jo5|if234566:9h0di?0123577e3zcl<=>?0001f>uno9:;<=?=3c9pmb6789::>9l4she345679;?i7~gh01234441j2ybk=>?01313g=tan:;<=>>29`8wla789:;=?7m;rkd4567888jn6}fg1234575jk1xej>?01226fd<{`m;<=>?13fa?vo`89:;<<<jb:qjc56789;9jo5|if234566;9h0di?0123567e3zcl<=>?0011f>uno9:;<=?<3c9pmb6789::?9l4she345679:?i7~gh01234451j2ybk=>?01303g=tan:;<=>>39`8wla789:;=>7m;rkd4567889jn6}fg1234574jk1xej>?01227fd<{`m;<=>?12fa?vo`89:;<<=jb:qjc56789;8jo5|if234566<9h0di?0123517e3zcl<=>?0061f>uno9:;<=?;3c9pmb6789::89l4she345679=?i7~gh01234421j2ybk=>?01373g=tan:;<=>>49`8wla789:;=97m;rkd456788>jn6}fg1234573jk1xej>?01220fd<{`m;<=>?15fa?vo`89:;<<:jb:qjc56789;?jo5|if234566=9h0di?0123507e3zcl<=>?0071f>uno9:;<=?:3c9pmb6789::99l4she345679<?i7~gh01234431j2ybk=>?01363g=tan:;<=>>59`8wla789:;=87m;rkd456788?jn6}fg1234572jk1xej>?01221fd<{`m;<=>?14fa?vo`89:;<<;jb:qjc56789;>jo5|if234566>9h0di?0123537e3zcl<=>?0041f>uno9:;<=?93c9pmb6789:::9l4she345679??i7~gh01234401j2ybk=>?01353g=tan:;<=>>69`8wla789:;=;7m;rkd456788<jn6}fg1234571jk1xej>?01222fd<{`m;<=>?17fa?vo`89:;<<8jb:qjc56789;=jo5|if234566?9h0di?0123527e3zcl<=>?0051f>uno9:;<=?83c9pmb6789::;9l4she345679>?i7~gh01234411j2ybk=>?01343g=tan:;<=>>79`8wla789:;=:7m;rkd456788=jn6}fg1234570jk1xej>?01223fd<{`m;<=>?16fa?vo`89:;<<9jb:qjc56789;<jo5|if23456609h0di?01235=7e3zcl<=>?00:1f>uno9:;<=?73c9pmb6789::49l4she3456791?i7~gh012344>1j2ybk=>?013;3g=tan:;<=>>89`8wla789:;=57m;rkd4567882jn6}fg123457?jk1xej>?0122<fd<{`m;<=>?19fa?vo`89:;<<6jb:qjc56789;3jo5|if23456619h0di?01235<7e3zcl<=>?00;1f>uno9:;<=?63c9pmb6789::59l4she3456790?i7~gh012344?1j2ybk=>?013:3g=tan:;<=>>99`8wla789:;=47m;rkd4567883jn6}fg123457>jk1xej>?0122=fd<{`m;<=>?18fa?vo`89:;<<7jb:qjc56789;2jo5|if234566i9h0di?01235d7e3zcl<=>?00c1f>uno9:;<=?n3c9pmb6789::m9l4she345679h?i7~gh012344g1j2ybk=>?013b3g=tan:;<=>>a9`8wla789:;=l7m;rkd456788kjn6}fg123457fjk1xej>?0122efd<{`m;<=>?1`fa?vo`89:;<<ojb:qjc56789;jjo5|if234566j9h0di?01235g7e3zcl<=>?00`1f>uno9:;<=?m3c9pmb6789::n9l4she345679k?i7~gh012344d1j2ybk=>?013a3g=tan:;<=>>b9`8wla789:;=o7m;rkd456788hjn6}fg123457ejk1xej>?0122ffd<{`m;<=>?1cfa?vo`89:;<<ljb:qjc56789;ijo5|if234566k9h0di?01235f7e3zcl<=>?00a1f>uno9:;<=?l3c9pmb6789::o9l4she345679j?i7~gh012344e1j2ybk=>?013`3g=tan:;<=>>c9`8wla789:;=n7m;rkd456788ijn6}fg123457djk1xej>?0122gfd<{`m;<=>?1bfa?vo`89:;<<mjb:qjc56789;hjo5|if234566l9h0di?01235a7e3zcl<=>?00f1f>uno9:;<=?k3c9pmb6789::h9l4she345679m?i7~gh012344b1j2ybk=>?013g3g=tan:;<=>>d9`8wla789:;=i7m;rkd456788njn6}fg123457cjk1xej>?0122`fd<{`m;<=>?1efa?vo`89:;<<jjb:qjc56789;ojo5|if234566m9h0di?01235`7e3zcl<=>?00g1f>uno9:;<=?j3c9pmb6789::i9l4she345679l?i7~gh012344c1j2ybk=>?013f3g=tan:;<=>>e9`8wla789:;=h7m;rkd456788ojn6}fg123457bjk1xej>?0122afd<{`m;<=>?1dfa?vo`89:;<<kjb:qjc56789;njo5|if234566n9h0di?01235c7e3zcl<=>?00d1f>uno9:;<=?i3c9pmb6789::j9l4she345679o?i7~gh012344`1j2ybk=>?013e3g=tan:;<=>>f9`8wla789:;=k7m;rkd456788ljn6}fg123457ajk1xej>?0122bfd<{`m;<=>?1gfa?vo`89:;<<hjb:qjc56789;mjo5|if23456589h0di?0123657e3zcl<=>?0321f>uno9:;<=<?3c9pmb6789:9<9l4she34567:9?i7~gh01234761j2ybk=>?01033g=tan:;<=>=09`8wla789:;>=7m;rkd45678;:jn6}fg1234547jk1xej>?01214fd<{`m;<=>?21fa?vo`89:;<?>jb:qjc567898;jo5|if23456599h0di?0123647e3zcl<=>?0331f>uno9:;<=<>3c9pmb6789:9=9l4she34567:8?i7~gh01234771j2ybk=>?01023g=tan:;<=>=19`8wla789:;><7m;rkd45678;;jn6}fg1234546jk1xej>?01215fd<{`m;<=>?20fa?vo`89:;<??jb:qjc567898:jo5|if234565:9h0di?0123677e3zcl<=>?0301f>uno9:;<=<=3c9pmb6789:9>9l4she34567:;?i7~gh01234741j2ybk=>?01013g=tan:;<=>=29`8wla789:;>?7m;rkd45678;8jn6}fg1234545jk1xej>?01216fd<{`m;<=>?23fa?vo`89:;<?<jb:qjc5678989jo5|if234565;9h0di?0123667e3zcl<=>?0311f>uno9:;<=<<3c9pmb6789:9?9l4she34567::?i7~gh01234751j2ybk=>?01003g=tan:;<=>=39`8wla789:;>>7m;rkd45678;9jn6}fg1234544jk1xej>?01217fd<{`m;<=>?22fa?vo`89:;<?=jb:qjc5678988jo5|if234565<9h0di?0123617e3zcl<=>?0361f>uno9:;<=<;3c9pmb6789:989l4she34567:=?i7~gh01234721j2ybk=>?01073g=tan:;<=>=49`8wla789:;>97m;rkd45678;>jn6}fg1234543jk1xej>?01210fd<{`m;<=>?25fa?vo`89:;<?:jb:qjc567898?jo5|if234565=9h0di?0123607e3zcl<=>?0371f>uno9:;<=<:3c9pmb6789:999l4she34567:<?i7~gh01234731j2ybk=>?01063g=tan:;<=>=59`8wla789:;>87m;rkd45678;?jn6}fg1234542jk1xej>?01211fd<{`m;<=>?24fa?vo`89:;<?;jb:qjc567898>jo5|if234565>9h0di?0123637e3zcl<=>?0341f>uno9:;<=<93c9pmb6789:9:9l4she34567:??i7~gh01234701j2ybk=>?01053g=tan:;<=>=69`8wla789:;>;7m;rkd45678;<jn6}fg1234541jk1xej>?01212fd<{`m;<=>?27fa?vo`89:;<?8jb:qjc567898=jo5|if234565?9h0di?0123627e3zcl<=>?0351f>uno9:;<=<83c9pmb6789:9;9l4she34567:>?i7~gh01234711j2ybk=>?01043g=tan:;<=>=79`8wla789:;>:7m;rkd45678;=jn6}fg1234540jk1xej>?01213fd<{`m;<=>?26fa?vo`89:;<?9jb:qjc567898<jo5|if23456509h0di?01236=7e3zcl<=>?03:1f>uno9:;<=<73c9pmb6789:949l4she34567:1?i7~gh012347>1j2ybk=>?010;3g=tan:;<=>=89`8wla789:;>57m;rkd45678;2jn6}fg123454?jk1xej>?0121<fd<{`m;<=>?29fa?vo`89:;<?6jb:qjc5678983jo5|if23456519h0di?01236<7e3zcl<=>?03;1f>uno9:;<=<63c9pmb6789:959l4she34567:0?i7~gh012347?1j2ybk=>?010:3g=tan:;<=>=99`8wla789:;>47m;rkd45678;3jn6}fg123454>jk1xej>?0121=fd<{`m;<=>?28fa?vo`89:;<?7jb:qjc5678982jo5|if234565i9h0di?01236d7e3zcl<=>?03c1f>uno9:;<=<n3c9pmb6789:9m9l4she34567:h?i7~gh012347g1j2ybk=>?010b3g=tan:;<=>=a9`8wla789:;>l7m;rkd45678;kjn6}fg123454fjk1xej>?0121efd<{`m;<=>?2`fa?vo`89:;<?ojb:qjc567898jjo5|if234565j9h0di?01236g7e3zcl<=>?03`1f>uno9:;<=<m3c9pmb6789:9n9l4she34567:k?i7~gh012347d1j2ybk=>?010a3g=tan:;<=>=b9`8wla789:;>o7m;rkd45678;hjn6}fg123454ejk1xej>?0121ffd<{`m;<=>?2cfa?vo`89:;<?ljb:qjc567898ijo5|if234565k9h0di?01236f7e3zcl<=>?03a1f>uno9:;<=<l3c9pmb6789:9o9l4she34567:j?i7~gh012347e1j2ybk=>?010`3g=tan:;<=>=c9`8wla789:;>n7m;rkd45678;ijn6}fg123454djk1xej>?0121gfd<{`m;<=>?2bfa?vo`89:;<?mjb:qjc567898hjo5|if234565l9h0di?01236a7e3zcl<=>?03f1f>uno9:;<=<k3c9pmb6789:9h9l4she34567:m?i7~gh012347b1j2ybk=>?010g3g=tan:;<=>=d9`8wla789:;>i7m;rkd45678;njn6}fg123454cjk1xej>?0121`fd<{`m;<=>?2efa?vo`89:;<?jjb:qjc567898ojo5|if234565m9h0di?01236`7e3zcl<=>?03g1f>uno9:;<=<j3c9pmb6789:9i9l4she34567:l?i7~gh012347c1j2ybk=>?010f3g=tan:;<=>=e9`8wla789:;>h7m;rkd45678;ojn6}fg123454bjk1xej>?0121afd<{`m;<=>?2dfa?vo`89:;<?kjb:qjc567898njo5|if234565n9h0di?01236c7e3zcl<=>?03d1f>uno9:;<=<i3c9pmb6789:9j9l4she34567:o?i7~gh012347`1j2ybk=>?010e3g=tan:;<=>=f9`8wla789:;>k7m;rkd45678;ljn6}fg123454ajk1xej>?0121bfd<{`m;<=>?2gfa?vo`89:;<?hjb:qjc567898mjo5|if23456489h0di?0123757e3zcl<=>?0221f>uno9:;<==?3c9pmb6789:8<9l4she34567;9?i7~gh01234661j2ybk=>?01133g=tan:;<=><09`8wla789:;?=7m;rkd45678::jn6}fg1234557jk1xej>?01204fd<{`m;<=>?31fa?vo`89:;<>>jb:qjc567899;jo5|if23456499h0di?0123747e3zcl<=>?0231f>uno9:;<==>3c9pmb6789:8=9l4she34567;8?i7~gh01234671j2ybk=>?01123g=tan:;<=><19`8wla789:;?<7m;rkd45678:;jn6}fg1234556jk1xej>?01205fd<{`m;<=>?30fa?vo`89:;<>?jb:qjc567899:jo5|if234564:9h0di?0123777e3zcl<=>?0201f>uno9:;<===3c9pmb6789:8>9l4she34567;;?i7~gh01234641j2ybk=>?01113g=tan:;<=><29`8wla789:;??7m;rkd45678:8jn6}fg1234555jk1xej>?01206fd<{`m;<=>?33fa?vo`89:;<><jb:qjc5678999jo5|if234564;9h0di?0123767e3zcl<=>?0211f>uno9:;<==<3c9pmb6789:8?9l4she34567;:?i7~gh01234651j2ybk=>?01103g=tan:;<=><39`8wla789:;?>7m;rkd45678:9jn6}fg1234554jk1xej>?01207fd<{`m;<=>?32fa?vo`89:;<>=jb:qjc5678998jo5|if234564<9h0di?0123717e3zcl<=>?0261f>uno9:;<==;3c9pmb6789:889l4she34567;=?i7~gh01234621j2ybk=>?01173g=tan:;<=><49`8wla789:;?97m;rkd45678:>jn6}fg1234553jk1xej>?01200fd<{`m;<=>?35fa?vo`89:;<>:jb:qjc567899?jo5|if234564=9h0di?0123707e3zcl<=>?0271f>uno9:;<==:3c9pmb6789:899l4she34567;<?i7~gh01234631j2ybk=>?01163g=tan:;<=><59`8wla789:;?87m;rkd45678:?jn6}fg1234552jk1xej>?01201fd<{`m;<=>?34fa?vo`89:;<>;jb:qjc567899>jo5|if234564>9h0di?0123737e3zcl<=>?0241f>uno9:;<==93c9pmb6789:8:9l4she34567;??i7~gh01234601j2ybk=>?01153g=tan:;<=><69`8wla789:;?;7m;rkd45678:<jn6}fg1234551jk1xej>?01202fd<{`m;<=>?37fa?vo`89:;<>8jb:qjc567899=jo5|if234564?9h0di?0123727e3zcl<=>?0251f>uno9:;<==83c9pmb6789:8;9l4she34567;>?i7~gh01234611j2ybk=>?01143g=tan:;<=><79`8wla789:;?:7m;rkd45678:=jn6}fg1234550jk1xej>?01203fd<{`m;<=>?36fa?vo`89:;<>9jb:qjc567899<jo5|if23456409h0di?01237=7e3zcl<=>?02:1f>uno9:;<==73c9pmb6789:849l4she34567;1?i7~gh012346>1j2ybk=>?011;3g=tan:;<=><89`8wla789:;?57m;rkd45678:2jn6}fg123455?jk1xej>?0120<fd<{`m;<=>?39fa?vo`89:;<>6jb:qjc5678993jo5|if23456419h0di?01237<7e3zcl<=>?02;1f>uno9:;<==63c9pmb6789:859l4she34567;0?i7~gh012346?1j2ybk=>?011:3g=tan:;<=><99`8wla789:;?47m;rkd45678:3jn6}fg123455>jk1xej>?0120=fd<{`m;<=>?38fa?vo`89:;<>7jb:qjc5678992jo5|if234564i9h0di?01237d7e3zcl<=>?02c1f>uno9:;<==n3c9pmb6789:8m9l4she34567;h?i7~gh012346g1j2ybk=>?011b3g=tan:;<=><a9`8wla789:;?l7m;rkd45678:kjn6}fg123455fjk1xej>?0120efd<{`m;<=>?3`fa?vo`89:;<>ojb:qjc567899jjo5|if234564j9h0di?01237g7e3zcl<=>?02`1f>uno9:;<==m3c9pmb6789:8n9l4she34567;k?i7~gh012346d1j2ybk=>?011a3g=tan:;<=><b9`8wla789:;?o7m;rkd45678:hjn6}fg123455ejk1xej>?0120ffd<{`m;<=>?3cfa?vo`89:;<>ljb:qjc567899ijo5|if234564k9h0di?01237f7e3zcl<=>?02a1f>uno9:;<==l3c9pmb6789:8o9l4she34567;j?i7~gh012346e1j2ybk=>?011`3g=tan:;<=><c9`8wla789:;?n7m;rkd45678:ijn6}fg123455djk1xej>?0120gfd<{`m;<=>?3bfa?vo`89:;<>mjb:qjc567899hjo5|if234564l9h0di?01237a7e3zcl<=>?02f1f>uno9:;<==k3c9pmb6789:8h9l4she34567;m?i7~gh012346b1j2ybk=>?011g3g=tan:;<=><d9`8wla789:;?i7m;rkd45678:njn6}fg123455cjk1xej>?0120`fd<{`m;<=>?3efa?vo`89:;<>jjb:qjc567899ojo5|if234564m9h0di?01237`7e3zcl<=>?02g1f>uno9:;<==j3c9pmb6789:8i9l4she34567;l?i7~gh012346c1j2ybk=>?011f3g=tan:;<=><e9`8wla789:;?h7m;rkd45678:ojn6}fg123455bjk1xej>?0120afd<{`m;<=>?3dfa?vo`89:;<>kjb:qjc567899njo5|if234564n9h0di?01237c7e3zcl<=>?02d1f>uno9:;<==i3c9pmb6789:8j9l4she34567;o?i7~gh012346`1j2ybk=>?011e3g=tan:;<=><f9`8wla789:;?k7m;rkd45678:ljn6}fg123455ajk1xej>?0120bfd<{`m;<=>?3gfa?vo`89:;<>hjb:qjc567899mjo5|if23456389h0di?0123057e3zcl<=>?0521f>uno9:;<=:?3c9pmb6789:?<9l4she34567<9?i7~gh01234161j2ybk=>?01633g=tan:;<=>;09`8wla789:;8=7m;rkd45678=:jn6}fg1234527jk1xej>?01274fd<{`m;<=>?41fa?vo`89:;<9>jb:qjc56789>;jo5|if23456399h0di?0123047e3zcl<=>?0531f>uno9:;<=:>3c9pmb6789:?=9l4she34567<8?i7~gh01234171j2ybk=>?01623g=tan:;<=>;19`8wla789:;8<7m;rkd45678=;jn6}fg1234526jk1xej>?01275fd<{`m;<=>?40fa?vo`89:;<9?jb:qjc56789>:jo5|if234563:9h0di?0123077e3zcl<=>?0501f>uno9:;<=:=3c9pmb6789:?>9l4she34567<;?i7~gh01234141j2ybk=>?01613g=tan:;<=>;29`8wla789:;8?7m;rkd45678=8jn6}fg1234525jk1xej>?01276fd<{`m;<=>?43fa?vo`89:;<9<jb:qjc56789>9jo5|if234563;9h0di?0123067e3zcl<=>?0511f>uno9:;<=:<3c9pmb6789:??9l4she34567<:?i7~gh01234151j2ybk=>?01603g=tan:;<=>;39`8wla789:;8>7m;rkd45678=9jn6}fg1234524jk1xej>?01277fd<{`m;<=>?42fa?vo`89:;<9=jb:qjc56789>8jo5|if234563<9h0di?0123017e3zcl<=>?0561f>uno9:;<=:;3c9pmb6789:?89l4she34567<=?i7~gh01234121j2ybk=>?01673g=tan:;<=>;49`8wla789:;897m;rkd45678=>jn6}fg1234523jk1xej>?01270fd<{`m;<=>?45fa?vo`89:;<9:jb:qjc56789>?jo5|if234563=9h0di?0123007e3zcl<=>?0571f>uno9:;<=::3c9pmb6789:?99l4she34567<<?i7~gh01234131j2ybk=>?01663g=tan:;<=>;59`8wla789:;887m;rkd45678=?jn6}fg1234522jk1xej>?01271fd<{`m;<=>?44fa?vo`89:;<9;jb:qjc56789>>jo5|if234563>9h0di?0123037e3zcl<=>?0541f>uno9:;<=:93c9pmb6789:?:9l4she34567<??i7~gh01234101j2ybk=>?01653g=tan:;<=>;69`8wla789:;8;7m;rkd45678=<jn6}fg1234521jk1xej>?01272fd<{`m;<=>?47fa?vo`89:;<98jb:qjc56789>=jo5|if234563?9h0di?0123027e3zcl<=>?0551f>uno9:;<=:83c9pmb6789:?;9l4she34567<>?i7~gh01234111j2ybk=>?01643g=tan:;<=>;79`8wla789:;8:7m;rkd45678==jn6}fg1234520jk1xej>?01273fd<{`m;<=>?46fa?vo`89:;<99jb:qjc56789><jo5|if23456309h0di?01230=7e3zcl<=>?05:1f>uno9:;<=:73c9pmb6789:?49l4she34567<1?i7~gh012341>1j2ybk=>?016;3g=tan:;<=>;89`8wla789:;857m;rkd45678=2jn6}fg123452?jk1xej>?0127<fd<{`m;<=>?49fa?vo`89:;<96jb:qjc56789>3jo5|if23456319h0di?01230<7e3zcl<=>?05;1f>uno9:;<=:63c9pmb6789:?59l4she34567<0?i7~gh012341?1j2ybk=>?016:3g=tan:;<=>;99`8wla789:;847m;rkd45678=3jn6}fg123452>jk1xej>?0127=fd<{`m;<=>?48fa?vo`89:;<97jb:qjc56789>2jo5|if234563i9h0di?01230d7e3zcl<=>?05c1f>uno9:;<=:n3c9pmb6789:?m9l4she34567<h?i7~gh012341g1j2ybk=>?016b3g=tan:;<=>;a9`8wla789:;8l7m;rkd45678=kjn6}fg123452fjk1xej>?0127efd<{`m;<=>?4`fa?vo`89:;<9ojb:qjc56789>jjo5|if234563j9h0di?01230g7e3zcl<=>?05`1f>uno9:;<=:m3c9pmb6789:?n9l4she34567<k?i7~gh012341d1j2ybk=>?016a3g=tan:;<=>;b9`8wla789:;8o7m;rkd45678=hjn6}fg123452ejk1xej>?0127ffd<{`m;<=>?4cfa?vo`89:;<9ljb:qjc56789>ijo5|if234563k9h0di?01230f7e3zcl<=>?05a1f>uno9:;<=:l3c9pmb6789:?o9l4she34567<j?i7~gh012341e1j2ybk=>?016`3g=tan:;<=>;c9`8wla789:;8n7m;rkd45678=ijn6}fg123452djk1xej>?0127gfd<{`m;<=>?4bfa?vo`89:;<9mjb:qjc56789>hjo5|if234563l9h0di?01230a7e3zcl<=>?05f1f>uno9:;<=:k3c9pmb6789:?h9l4she34567<m?i7~gh012341b1j2ybk=>?016g3g=tan:;<=>;d9`8wla789:;8i7m;rkd45678=njn6}fg123452cjk1xej>?0127`fd<{`m;<=>?4efa?vo`89:;<9jjb:qjc56789>ojo5|if234563m9h0di?01230`7e3zcl<=>?05g1f>uno9:;<=:j3c9pmb6789:?i9l4she34567<l?i7~gh012341c1j2ybk=>?016f3g=tan:;<=>;e9`8wla789:;8h7m;rkd45678=ojn6}fg123452bjk1xej>?0127afd<{`m;<=>?4dfa?vo`89:;<9kjb:qjc56789>njo5|if234563n9h0di?01230c7e3zcl<=>?05d1f>uno9:;<=:i3c9pmb6789:?j9l4she34567<o?i7~gh012341`1j2ybk=>?016e3g=tan:;<=>;f9`8wla789:;8k7m;rkd45678=ljn6}fg123452ajk1xej>?0127bfd<{`m;<=>?4gfa?vo`89:;<9hjb:qjc56789>mjo5|if23456289h0di?0123157e3zcl<=>?0421f>uno9:;<=;?3c9pmb6789:><9l4she34567=9?i7~gh01234061j2ybk=>?01733g=tan:;<=>:09`8wla789:;9=7m;rkd45678<:jn6}fg1234537jk1xej>?01264fd<{`m;<=>?51fa?vo`89:;<8>jb:qjc56789?;jo5|if23456299h0di?0123147e3zcl<=>?04310>p6&9;87ulfp^c`gpkX~;U;Su}{129{flvXe|fmnmzm^t1[54a3qhb|Rczluc`gpkX~;U; -Vflhl{$FIUM)Mnbh|ntnp#51(09>1snd~PmtnwefereV|9S=Qwsu37?}dnxVzb|hQy2^2\|vrX98>0tog_qksaZp5W9UsyQ=159{flvXx`znS{<P0^zppZ56<2rie}Qiqg\r7Y7WqyS9?;;y`jtZvnxlU}>R>Pxrv\142<pkc{S}ge^t1[5Y{}U=?6wjs038ÇλºÎ·ÝÇȳɳ¿Ñº°¾2=ÉÇÂÅ´°Áj4ÑýÓȻѽÍʰ·µh5Þ¾ÒϺÒüÁɶÎÉpNOp0g`<>FGp<<m6K49:0yPa4<51k0:544>35f;b0<2?h8pb?76:09m6<g=>2.95:4=929~Wa`=:0h1=475126g<c3==>k?7^?:9;746?6=9:>o4k;556c4?Vba2<=96=4>35f;b0<2?h=0_<;6:0;4>dea289?h5h::45b<>Ucn3;2;7olf;300a>a=3?<m55k57d94?7=9rYn=7<6b;3:=?74<m2m97;8a39uP7>f290:6<4<ecyPa4<51k0:544>35f;b0<2?h80(?7=:07a?S4>038py<69:09v5=1=82w/=nl5239a13`=83=?6<484zJ1=5=#:1l19;h4Z9597~7428>1>94r$3;5>db53-ii689>;%g3>0173-8347?4$3:4>7?d3`8jm7>5$30e>7g>3g89i7>4;h0b3?6=,;8m6?o6;o01a?7<3`8j:7>5$30e>7g>3g89i7<4;h0b1?6=,;8m6?o6;o01a?5<3`8j87>5$30e>7g>3g89i7:4;h0b7?6=,;8m6?o6;o01a?3<3`8j>7>5$30e>7g>3g89i784;h0b5?6=,;8m6?o6;o01a?1<3`8j<7>5$30e>7g>3g89i764;h0:b?6=,;8m6?o6;o01a??<3`82i7>5$30e>7g>3g89i7o4;h0a6?6=,;8m6?o6;o01a?d<3`8i=7>5$30e>7g>3g89i7m4;h0a4?6=,;8m6?o6;o01a?b<3`8jj7>5$30e>7g>3g89i7k4;h0ba?6=,;8m6?o6;o01a?`<3`8jh7>5$30e>7g>3g89i7??;:k1ef<72-89j7<n9:l16`<6921b>ll50;&16c<5i01e>?k51398m7g?290/>?h52`;8j74b28907d<6d;29 74a2;k27c<=e;37?>o5k90;6)<=f;0ab>h5:l0;76g=be83>!45n38ij6`=2d82?>o5jj0;6)<=f;0ab>h5:l0976g=bc83>!45n38ij6`=2d80?>o5jh0;6)<=f;0ab>h5:l0?76g=b883>!45n38ij6`=2d86?>o5j10;6)<=f;0ab>h5:l0=76g=b683>!45n38ij6`=2d84?>o5j?0;6)<=f;0ab>h5:l0376g=b483>!45n38ij6`=2d8:?>o5j=0;6)<=f;0ab>h5:l0j76g=c983>!45n38ij6`=2d8a?>o5k>0;6)<=f;0ab>h5:l0h76g=c783>!45n38ij6`=2d8g?>o5k<0;6)<=f;0ab>h5:l0n76g=c583>!45n38ij6`=2d8e?>o5k:0;6)<=f;0ab>h5:l0:<65f2b094?"5:o09nk5a23g954=<a;i:6=4+23d96g`<f;8n6<<4;h0aa?6=,;8m6?li;o01a?7432c9n>4?:%01b?4en2d9>h4>4:9j137=831b9;>50;9j1ge=831b98h50;9l1g1=83.9>k4:b79m67c=821d9o;50;&16c<2j?1e>?k51:9l1g2=83.9>k4:b79m67c=:21d9o=50;&16c<2j?1e>?k53:9l1g4=83.9>k4:b79m67c=<21d9o?50;&16c<2j?1e>?k55:9l1g6=83.9>k4:b79m67c=>21d9lh50;&16c<2j?1e>?k57:9l1dc=83.9>k4:b79m67c=021d9lj50;&16c<2j?1e>?k59:9l1dd=83.9>k4:b79m67c=i21d9lo50;&16c<2j?1e>?k5b:9l1d?=83.9>k4:b79m67c=k21d9l650;&16c<2j?1e>?k5d:9l1d1=83.9>k4:b79m67c=m21d9l850;&16c<2j?1e>?k5f:9l1d3=83.9>k4:b79m67c=9910c8o;:18'67`==k<0b?<j:038?j3f;3:1(?<i:4`5?k45m3;976a:a383>!45n3?i:6`=2d827>=h=h:1<7*=2g86f3=i:;o1=954o4;e>5<#:;l19o84n30f>43<3f?2i7>5$30e>0d13g89i7?9;:m6=a<72-89j7;m6:l16`<6?21d94m50;&16c<2j?1e>?k51998k0?e290/>?h55c48j74b28307b;6a;29 74a2<h=7c<=e;3b?>i2100;6)<=f;7a2>h5:l0:n65`58:94?"5:o0>n;5a23g95f=<g<3<6=4+23d91g0<f;8n6<j4;n7:1?6=,;8m68l9;o01a?7b32e>594?:%01b?3e>2d9>h4>f:9l1<5=83.9>k4:b79m67c=:910c87=:18'67`==k<0b?<j:338?j3>93:1(?<i:4`5?k45m38976a:9183>!45n3?i:6`=2d817>=h=1l1<7*=2g86f3=i:;o1>954o4:f>5<#:;l19o84n30f>73<3f?3h7>5$30e>0d13g89i7<9;:m6<f<72-89j7;m6:l16`<5?21d95o50;&16c<2j?1e>?k52998k0>>290/>?h55c48j74b2;307b;78;29 74a2<h=7c<=e;0b?>i20>0;6)<=f;7a2>h5:l09n65`59494?"5:o0>n;5a23g96f=<g<2>6=4+23d91g0<f;8n6?j4;n7;0?6=,;8m68l9;o01a?4b32e>4>4?:%01b?3e>2d9>h4=f:9l1=4=83.9>k4:b79m67c=;910c86>:18'67`==k<0b?<j:238?j30n3:1(?<i:4`5?k45m39976a:7d83>!45n3?i:6`=2d807>=h=>n1<7*=2g86f3=i:;o1?954o45`>5<#:;l19o84n30f>63<3f?<n7>5$30e>0d13g89i7=9;:m63d<72-89j7;m6:l16`<4?21d9:750;&16c<2j?1e>?k53998k01?290/>?h55c48j74b2:307b;87;29 74a2<h=7c<=e;1b?>i2??0;6)<=f;7a2>h5:l08n65`5c`94?"5:o0>n;5a23g97f=<g<hj6=4+23d91g0<f;8n6>j4;n7a=?6=,;8m68l9;o01a?5b32e>n54?:%01b?3e>2d9>h4<f:9l1de=83.9>k4:b79m67c=<910c8o>:18'67`==k<0b?<j:538?j3>>3:1(?<i:4`5?k45m3>976a:8c83>!45n3?i:6`=2d877>=h=1:1<7*=2g86f3=i:;o18954o456>5<#:;l19o84n30f>13<3k8h57>57482g0<41kqC>4>4$3:e>db43S2<6>u>1;31>75=u-koh7okc:&b``<flk1b?i4?:%01b?5d3g89i7>4;h1b>5<#:;l1?n5a23g95>=n;00;6)<=f;1`?k45m3807d=7:18'67`=;j1e>?k53:9j73<72-89j7=l;o01a?2<3`9>6=4+23d97f=i:;o1965f3583>!45n39h7c<=e;48?l54290/>?h53b9m67c=?21b??4?:%01b?5d3g89i764;h12>5<#:;l1?n5a23g9=>=n;90;6)<=f;1`?k45m3k07d:::18'67`=;j1e>?k5b:9j01<72-89j7=l;o01a?e<3`>86=4+23d97f=i:;o1h65f4383>!45n39h7c<=e;g8?l26290/>?h53b9m67c=n21b8=4?:%01b?5d3g89i7??;:k0b?6=,;8m6>m4n30f>47<3`9n6=4+23d97f=i:;o1=?54i2`94?"5:o08o6`=2d827>=n:o0;6)<=f;1`?k45m3;?76g:8;29 74a2<=0b?<j:198m03=83.9>k4:7:l16`<632c>87>5$30e>01<f;8n6?54i4194?"5:o0>;6`=2d80?>o293:1(?<i:458j74b2=10e8>50;&16c<2?2d9>h4:;:k7b?6=,;8m6894n30f>3=<a=o1<7*=2g863>h5:l0<76g;d;29 74a2<=0b?<j:998m1e=83.9>k4:7:l16`<>32c?n7>5$30e>01<f;8n6l54i7294?"5:o0>;6`=2d8a?>o2n3:1(?<i:458j74b2j10e8k50;&16c<2?2d9>h4k;:k6`?6=,;8m6894n30f>`=<a<i1<7*=2g863>h5:l0m76g:b;29 74a2<=0b?<j:028?l3f290/>?h5569m67c=9810e8750;&16c<2?2d9>h4>2:9j13<72-89j7;8;o01a?7432c?m7>5$30e>01<f;8n6<:4;hag>5<<aj81<75f29494?=n010;66g=8e83>>i5080;6)<=f;0;4>h5:l0;76a=7g83>!45n383<6`=2d82?>i5?l0;6)<=f;0;4>h5:l0976a=7e83>!45n383<6`=2d80?>i5?j0;6)<=f;0;4>h5:l0?76a=7c83>!45n383<6`=2d86?>i5?h0;6)<=f;0;4>h5:l0=76a=7883>!45n383<6`=2d84?>i5?10;6)<=f;0;4>h5:l0376a=7683>!45n383<6`=2d8:?>i5?<0;6)<=f;0;4>h5:l0j76a=7583>!45n383<6`=2d8a?>i5?:0;6)<=f;0;4>h5:l0h76a=7383>!45n383<6`=2d8g?>i5?80;6)<=f;0;4>h5:l0n76a=7183>!45n383<6`=2d8e?>i5>o0;6)<=f;0;4>h5:l0:<65`27g94?"5:o094=5a23g954=<g;<o6=4+23d96=6<f;8n6<<4;n05g?6=,;8m6?6?;o01a?7432e9:l4?:%01b?4?82d9>h4>4:9l63?=83.9>k4=819m67c=9<10c?87:18'67`=:1:0b?<j:048?j41?3:1(?<i:3:3?k45m3;<76a=6783>!45n383<6`=2d82<>=h:??1<7*=2g81<5=i:;o1=454o347>5<#:;l1>5>4n30f>4g<3f8=?7>5$30e>7>73g89i7?m;:m127<72-89j7<70:l16`<6k21d>;?50;&16c<5091e>?k51e98k73a290/>?h52928j74b28o07b<:e;29 74a2;2;7c<=e;3e?>i5=m0;6)<=f;0;4>h5:l09<65`24a94?"5:o094=5a23g964=<g;?i6=4+23d96=6<f;8n6?<4;n06e?6=,;8m6?6?;o01a?4432e9944?:%01b?4?82d9>h4=4:9l60>=83.9>k4=819m67c=:<10c?;8:18'67`=:1:0b?<j:348?j42>3:1(?<i:3:3?k45m38<76a=5583>!45n383<6`=2d81<>=h:<91<7*=2g81<5=i:;o1>454o371>5<#:;l1>5>4n30f>7g<3f8>=7>5$30e>7>73g89i7<m;:m115<72-89j7<70:l16`<5k21d>9h50;&16c<5091e>?k52e98k72b290/>?h52928j74b2;o07b<;d;29 74a2;2;7c<=e;0e?>i5<j0;6)<=f;0;4>h5:l08<65`25`94?"5:o094=5a23g974=<g;>26=4+23d96=6<f;8n6><4;n07<?6=,;8m6?6?;o01a?5432e98:4?:%01b?4?82d9>h4<4:9l610=83.9>k4=819m67c=;<10c?:::18'67`=:1:0b?<j:248?j43<3:1(?<i:3:3?k45m39<76a=4283>!45n383<6`=2d80<>=h:=81<7*=2g81<5=i:;o1?454o362>5<#:;l1>5>4n30f>6g<3f8?<7>5$30e>7>73g89i7=m;:m1<0<72-89j7<70:l16`<4k21d>5:50;&16c<5091e>?k53e98k7>4290/>?h52928j74b2:o07b<72;29 74a2;2;7c<=e;1e?>i5??0;6)<=f;0;4>h5:l0?<65`27`94?"5:o094=5a23g904=<g;<;6=4+23d96=6<f;8n69<4;n061?6=,;8m6?6?;o01a?2432e98l4?:%01b?4?82d9>h4;4:9l66`=83.9>k4=819m67c=<<10n?mn:182>5<7s-83j7<61:J1=0=O:0:0c<77:188yg4dj3:1=7>50z&1<c<6=91C>4;4H3;3?j73n3:17pl=cb83>43f290;w)<7f;37f>N51<1C>4>4Z959f~402j0:n7?6:88;>4>=9h0j6:4>7;'5<0==>90b?751:l1f?7<f=21=6`;9;38jge=82.ih7?7b:lf6?7<f8>j6=5a19695>h61<0:7c<<0;38 75c2;2n7cm?:19jg3<722c9?:4?::k17=<722ch;7>5;h00=?6=3`i26=44ib794?=hjo0;66g=3c83>>o5;h0;66gld;29?l44>3:17dm7:188mf2=831bno4?::k`e?6=3`i86=44ocg94?=n::?1<75f22a94?=n=:0;6)<=f;71?k45m3:07d;>:18'67`==;1e>?k51:9j15<72-89j7;=;o01a?4<3`>m6=4+23d917=i:;o1?65f4d83>!45n3?97c<=e;68?l2c290/>?h5539m67c==21b8n4?:%01b?353g89i784;h6a>5<#:;l19?5a23g93>=n>90;6)<=f;71?k45m3207d;i:18'67`==;1e>?k59:9j1`<72-89j7;=;o01a?g<3`?o6=4+23d917=i:;o1n65f5b83>!45n3?97c<=e;a8?l3e290/>?h5539m67c=l21b9l4?:%01b?353g89i7k4;h7:>5<#:;l19?5a23g9b>=n=?0;6)<=f;71?k45m3;;76g;a;29 74a2<80b?<j:038?j1c290/>?h57b9m67c=821d;o4?:%01b?1d3g89i7?4;n5b>5<#:;l1;n5a23g96>=h?00;6)<=f;5`?k45m3907b97:18'67`=?j1e>?k54:9l32<72-89j79l;o01a?3<3f==6=4+23d93f=i:;o1:65`7483>!45n3=h7c<=e;58?j>1290/>?h57b9m67c=021d484?:%01b?1d3g89i774;n:7>5<#:;l1;n5a23g9e>=h0:0;6)<=f;5`?k45m3h07b6=:18'67`=?j1e>?k5c:9l<4<72-89j79l;o01a?b<3f2;6=4+23d93f=i:;o1i65`7g83>!45n3=h7c<=e;d8?j1b290/>?h57b9m67c=9910c::50;&16c<0k2d9>h4>1:9j5c0=83.9>k4>f49m67c=821b=k:50;&16c<6n<1e>?k51:9j5c5=83.9>k4>f49m67c=:21b=k<50;&16c<6n<1e>?k53:9j5c7=83.9>k4>f49m67c=<21b=k>50;&16c<6n<1e>?k55:9j5``=83.9>k4>f49m67c=>21b=hk50;&16c<6n<1e>?k57:9j5`e=83.9>k4>f49m67c=021b=hl50;&16c<6n<1e>?k59:9j5`g=83.9>k4>f49m67c=i21b=h750;&16c<6n<1e>?k5b:9j5`>=83.9>k4>f49m67c=k21b=h950;&16c<6n<1e>?k5d:9j5`0=83.9>k4>f49m67c=m21b=h;50;&16c<6n<1e>?k5f:9j5`2=83.9>k4>f49m67c=9910e<k<:18'67`=9o?0b?<j:038?l7b93:1(?<i:0d6?k45m3;976g>e183>!45n3;m96`=2d827>=n9ml1<7*=2g82b0=i:;o1=954i0ff>5<#:;l1=k;4n30f>43<3`;oh7>5$30e>4`23g89i7?9;:k2`f<72-89j7?i5:l16`<6?21b=il50;&16c<6n<1e>?k51998m4bf290/>?h51g78j74b28307d?k9;29 74a28l>7c<=e;3b?>o6l10;6)<=f;3e1>h5:l0:n65f1e494?"5:o0:j85a23g95f=<a8n>6=4+23d95c3<f;8n6<j4;h3g0?6=,;8m6<h:;o01a?7b32c:h>4?:%01b?7a=2d9>h4>f:9j5a4=83.9>k4>f49m67c=:910e<j>:18'67`=9o?0b?<j:338?l7c83:1(?<i:0d6?k45m38976g>cg83>!45n3;m96`=2d817>=n9jo1<7*=2g82b0=i:;o1>954i0ag>5<#:;l1=k;4n30f>73<3`;mo7>5$30e>4`23g89i7<9;:k2bg<72-89j7?i5:l16`<5?21b=ko50;&16c<6n<1e>?k52998m4`>290/>?h51g78j74b2;307d?i8;29 74a28l>7c<=e;0b?>o6n>0;6)<=f;3e1>h5:l09n65f1df94?"5:o0:j85a23g96f=<a8o96=4+23d95c3<f;8n6?j4;h3g3?6=,;8m6<h:;o01a?4b32c:on4?:%01b?7a=2d9>h4=f:9jf1<72-89j7l<;o01a?6<3`h96=4+23d9f6=i:;o1=65fb083>!45n3h87c<=e;08?ld7290/>?h5b29m67c=;21bmk4?:%01b?d43g89i7:4;hcf>5<#:;l1n>5a23g91>=nim0;6)<=f;`0?k45m3<07dol:18'67`=j:1e>?k57:9jed<72-89j7l<;o01a?><3`k26=4+23d9f6=i:;o1565fa983>!45n3h87c<=e;c8?lg0290/>?h5b29m67c=j21bm;4?:%01b?d43g89i7m4;hc6>5<#:;l1n>5a23g9`>=ni=0;6)<=f;`0?k45m3o07do<:18'67`=j:1e>?k5f:9je7<72-89j7l<;o01a?7732cj=7>5$30e>g5<f;8n6<?4;h;e>5<#:;l1n>5a23g957=<a0o1<7*=2g8a7>h5:l0:?65f9e83>!45n3h87c<=e;37?>o>k3:1(?<i:c18j74b28?07d7m:18'67`=j:1e>?k51798m<g=83.9>k4m3:l16`<6?21b544?:%01b?d43g89i7?7;:k:<?6=,;8m6o=4n30f>4?<3`3<6=4+23d9f6=i:;o1=l54i8494?"5:o0i?6`=2d82f>=n1=0;6)<=f;`0?k45m3;h76g63;29 74a2k90b?<j:0f8?l?5290/>?h5b29m67c=9l10e4?50;&16c<e;2d9>h4>f:9j=5<72-89j7l<;o01a?4732c3j7>5$30e>g5<f;8n6??4;h:f>5<#:;l1n>5a23g967=<a1n1<7*=2g8a7>h5:l09?65f8b83>!45n3h87c<=e;07?>o?j3:1(?<i:c18j74b2;?07dln:18'67`=j:1e>?k52798mg?=83.9>k4m3:l16`<5?21bn54?:%01b?d43g89i7<7;:ka3?6=,;8m6o=4n30f>7?<3`h=6=4+23d9f6=i:;o1>l54ic794?"5:o0i?6`=2d81f>=nik0;6)<=f;`0?k45m38h76gn0;29 74a2k90b?<j:3f8?l?2290/>?h5b29m67c=:l10e5o50;&16c<e;2d9>h4=f:9l5f2=83.9>k4>c29m67c=821d=n<50;&16c<6k:1e>?k51:9l5f7=83.9>k4>c29m67c=:21d=n>50;&16c<6k:1e>?k53:9l5g`=83.9>k4>c29m67c=<21d=ok50;&16c<6k:1e>?k55:9l5gb=83.9>k4>c29m67c=>21d=om50;&16c<6k:1e>?k57:9l5gg=83.9>k4>c29m67c=021d=o750;&16c<6k:1e>?k59:9l5g>=83.9>k4>c29m67c=i21d=o950;&16c<6k:1e>?k5b:9l5g0=83.9>k4>c29m67c=k21d=o;50;&16c<6k:1e>?k5d:9l5g2=83.9>k4>c29m67c=m21d=o=50;&16c<6k:1e>?k5f:9l5g4=83.9>k4>c29m67c=9910c<l>:18'67`=9j90b?<j:038?j7fn3:1(?<i:0a0?k45m3;976a>ad83>!45n3;h?6`=2d827>=h9hn1<7*=2g82g6=i:;o1=954o0c`>5<#:;l1=n=4n30f>43<3f;jn7>5$30e>4e43g89i7?9;:m2ed<72-89j7?l3:l16`<6?21d=l750;&16c<6k:1e>?k51998k4g?290/>?h51b18j74b28307b?n7;29 74a28i87c<=e;3b?>i6i?0;6)<=f;3`7>h5:l0:n65`1`694?"5:o0:o>5a23g95f=<g8k86=4+23d95f5<f;8n6<j4;n3b6?6=,;8m6<m<;o01a?7b32e:m<4?:%01b?7d;2d9>h4>f:9l5d6=83.9>k4>c29m67c=:910c<7i:18'67`=9j90b?<j:338?j7>m3:1(?<i:0a0?k45m38976a>9e83>!45n3;h?6`=2d817>=h90i1<7*=2g82g6=i:;o1>954o0;a>5<#:;l1=n=4n30f>73<3f;hm7>5$30e>4e43g89i7<9;:m2g<<72-89j7?l3:l16`<5?21d=n650;&16c<6k:1e>?k52998k4e0290/>?h51b18j74b2;307b?l6;29 74a28i87c<=e;0b?>i6k<0;6)<=f;3`7>h5:l09n65`1c`94?"5:o0:o>5a23g96f=<g8h;6=4+23d95f5<f;8n6?j4;n3b1?6=,;8m6<m<;o01a?4b32e:5l4?:%01b?7d;2d9>h4=f:9j5<2=83.9>k4>929m67c=821b=4<50;&16c<61:1e>?k51:9j5<7=83.9>k4>929m67c=:21b=4>50;&16c<61:1e>?k53:9j5=`=83.9>k4>929m67c=<21b=5k50;&16c<61:1e>?k55:9j5=b=83.9>k4>929m67c=>21b=5m50;&16c<61:1e>?k57:9jaa<72-89j7kl;o01a?6<3`oi6=4+23d9af=i:;o1=65fe`83>!45n3oh7c<=e;08?lc>290/>?h5eb9m67c=;21bi54?:%01b?cd3g89i7:4;hg4>5<#:;l1in5a23g91>=nm?0;6)<=f;g`?k45m3<07dk::18'67`=mj1e>?k57:9jb3<72-89j7kl;o01a?><3`l>6=4+23d9af=i:;o1565ff583>!45n3oh7c<=e;c8?l`4290/>?h5eb9m67c=j21bj?4?:%01b?cd3g89i7m4;hd2>5<#:;l1in5a23g9`>=nn90;6)<=f;g`?k45m3o07dki:18'67`=mj1e>?k5f:9ja`<72-89j7kl;o01a?7732cn87>5$30e>`e<f;8n6<?4;n013?6=,;8m6?<9;o01a?6<3f8997>5$30e>7413g89i7?4;n010?6=,;8m6?<9;o01a?4<3f89?7>5$30e>7413g89i7=4;n016?6=,;8m6?<9;o01a?2<3f89=7>5$30e>7413g89i7;4;n014?6=,;8m6?<9;o01a?0<3f8:j7>5$30e>7413g89i794;n02`?6=,;8m6?<9;o01a?><3f8:o7>5$30e>7413g89i774;n02f?6=,;8m6?<9;o01a?g<3f8:m7>5$30e>7413g89i7l4;n02=?6=,;8m6?<9;o01a?e<3f8:47>5$30e>7413g89i7j4;n023?6=,;8m6?<9;o01a?c<3f8::7>5$30e>7413g89i7h4;n021?6=,;8m6?<9;o01a?7732e9=94?:%01b?45>2d9>h4>1:9l644=83.9>k4=279m67c=9;10c??>:18'67`=:;<0b?<j:018?j4683:1(?<i:305?k45m3;?76a=0g83>!45n389:6`=2d821>=h:9o1<7*=2g8163=i:;o1=;54o32g>5<#:;l1>?84n30f>41<3f8;o7>5$30e>7413g89i7?7;:m14g<72-89j7<=6:l16`<6121d>=o50;&16c<5:?1e>?k51`98k76>290/>?h52348j74b28h07b<?7;29 74a2;8=7c<=e;3`?>i58?0;6)<=f;012>h5:l0:h65`21794?"5:o09>;5a23g95`=<g;:?6=4+23d9670<f;8n6<h4;n037?6=,;8m6?<9;o01a?4732e9<?4?:%01b?45>2d9>h4=1:9l657=83.9>k4=279m67c=:;10c?>?:18'67`=:;<0b?<j:318?j7an3:1(?<i:305?k45m38?76a>fd83>!45n389:6`=2d811>=h:;n1<7*=2g8163=i:;o1>;54o30`>5<#:;l1>?84n30f>71<3f89n7>5$30e>7413g89i7<7;:m16d<72-89j7<=6:l16`<5121d>?750;&16c<5:?1e>?k52`98k74?290/>?h52348j74b2;h07b<>e;29 74a2;8=7c<=e;0`?>i59:0;6)<=f;012>h5:l09h65`21:94?"5:o09>;5a23g96`=<g8lo6=4+23d9670<f;8n6?h4;h1;>5<#:;l1?:5a23g94>=n;?0;6)<=f;14?k45m3;07d=::18'67`=;>1e>?k52:9j71<72-89j7=8;o01a?5<3`986=4+23d972=i:;o1865f3383>!45n39<7c<=e;78?l56290/>?h5369m67c=>21b?=4?:%01b?503g89i794;h66>5<#:;l1?:5a23g9<>=n<=0;6)<=f;14?k45m3307d:<:18'67`=;>1e>?k5a:9j07<72-89j7=8;o01a?d<3`>:6=4+23d972=i:;o1o65f4183>!45n39<7c<=e;f8?l5a290/>?h5369m67c=m21b?h4?:%01b?503g89i7h4;h1a>5<#:;l1?:5a23g955=<a;l1<7*=2g803>h5:l0:=65`16a94?"5:o0:;o5a23g94>=h9>k1<7*=2g823g=i:;o1=65`16;94?"5:o0:;o5a23g96>=h9>21<7*=2g823g=i:;o1?65`16594?"5:o0:;o5a23g90>=h9><1<7*=2g823g=i:;o1965`16694?"5:o0:;o5a23g92>=h9>91<7*=2g823g=i:;o1;65`16094?"5:o0:;o5a23g9<>=h9>;1<7*=2g823g=i:;o1565`16294?"5:o0:;o5a23g9e>=h9?l1<7*=2g823g=i:;o1n65`17g94?"5:o0:;o5a23g9g>=h9?n1<7*=2g823g=i:;o1h65`17a94?"5:o0:;o5a23g9a>=h9?h1<7*=2g823g=i:;o1j65`17;94?"5:o0:;o5a23g955=<g8<36=4+23d952d<f;8n6<?4;n353?6=,;8m6<9m;o01a?7532e::;4?:%01b?70j2d9>h4>3:9l533=83.9>k4>7c9m67c=9=10c<8;:18'67`=9>h0b?<j:078?j71;3:1(?<i:05a?k45m3;=76a>6383>!45n3;<n6`=2d823>=h9?;1<7*=2g823g=i:;o1=554o043>5<#:;l1=:l4n30f>4?<3f;3?7>5$30e>41e3g89i7?n;:m2<7<72-89j7?8b:l16`<6j21d=5?50;&16c<6?k1e>?k51b98k4>7290/>?h516`8j74b28n07b?8f;29 74a28=i7c<=e;3f?>i6?l0;6)<=f;34f>h5:l0:j65`16f94?"5:o0:;o5a23g965=<g8=>6=4+23d952d<f;8n6??4;n35e?6=,;8m6<9m;o01a?4532e:9k4?:%01b?70j2d9>h4=3:9j2d<72-89j786;o01a?6<@;2276g98;29 74a2?30b?<j:09K6=?<3`<<6=4+23d92<=i:;o1>6F=8898m30=83.9>k499:l16`<43A83565f6483>!45n3<27c<=e;68L7>>32c=87>5$30e>3?<f;8n685G29;8?l04290/>?h5689m67c=>2B94454i7094?"5:o0=56`=2d84?M4?121b;>4?:%01b?0>3g89i764H3::?>o0:3:1(?<i:7;8j74b201C>574;h52>5<#:;l1:45a23g9e>N50010e:>50;&16c<112d9>h4m;I0;=>=n>o0;6)<=f;4:?k45m3i0D?66;:k5a?6=,;8m6;74n30f>a=O:1307d8k:18'67`=>01e>?k5e:J1<<=<a?i1<7*=2g85=>h5:l0m7E<79:9j2g<72-89j786;o01a?773A83565f6083>!45n3<27c<=e;32?M4?121vn?mk:1821d<729q/>5h515`8L7?23A82<6T77;`x62<d28h1=446:982<?7f2h0<6<95}%3:2?30;2d957?4n3`95>h303;0b9751:lag?6<,kn1=5l4nd095>h6<h0;7c?74;38j4?2281e>>>51:&17a<50l1eo=4?;ha5>5<<a;9<6=44i31;>5<<aj=1<75f22;94?=nk00;66gl5;29?jda2900e?=m:188m75f2900enj50;9j660=831bo54?::k`0?6=3`hi6=44ibc94?=nk:0;66ame;29?l44=3:17d<<c;29?l34290/>?h5539m67c=821b9<4?:%01b?353g89i7?4;h73>5<#:;l19?5a23g96>=n<o0;6)<=f;71?k45m3907d:j:18'67`==;1e>?k54:9j0a<72-89j7;=;o01a?3<3`>h6=4+23d917=i:;o1:65f4c83>!45n3?97c<=e;58?l07290/>?h5539m67c=021b9k4?:%01b?353g89i774;h7f>5<#:;l19?5a23g9e>=n=m0;6)<=f;71?k45m3h07d;l:18'67`==;1e>?k5c:9j1g<72-89j7;=;o01a?b<3`?j6=4+23d917=i:;o1i65f5883>!45n3?97c<=e;d8?l31290/>?h5539m67c=9910e9o50;&16c<2:2d9>h4>1:9l3a<72-89j79l;o01a?6<3f=i6=4+23d93f=i:;o1=65`7`83>!45n3=h7c<=e;08?j1>290/>?h57b9m67c=;21d;54?:%01b?1d3g89i7:4;n54>5<#:;l1;n5a23g91>=h??0;6)<=f;5`?k45m3<07b9::18'67`=?j1e>?k57:9l<3<72-89j79l;o01a?><3f2>6=4+23d93f=i:;o1565`8583>!45n3=h7c<=e;c8?j>4290/>?h57b9m67c=j21d4?4?:%01b?1d3g89i7m4;n:2>5<#:;l1;n5a23g9`>=h090;6)<=f;5`?k45m3o07b9i:18'67`=?j1e>?k5f:9l3`<72-89j79l;o01a?7732e<87>5$30e>2e<f;8n6<?4;h3e2?6=,;8m6<h:;o01a?6<3`;m87>5$30e>4`23g89i7?4;h3e7?6=,;8m6<h:;o01a?4<3`;m>7>5$30e>4`23g89i7=4;h3e5?6=,;8m6<h:;o01a?2<3`;m<7>5$30e>4`23g89i7;4;h3fb?6=,;8m6<h:;o01a?0<3`;ni7>5$30e>4`23g89i794;h3fg?6=,;8m6<h:;o01a?><3`;nn7>5$30e>4`23g89i774;h3fe?6=,;8m6<h:;o01a?g<3`;n57>5$30e>4`23g89i7l4;h3f<?6=,;8m6<h:;o01a?e<3`;n;7>5$30e>4`23g89i7j4;h3f2?6=,;8m6<h:;o01a?c<3`;n97>5$30e>4`23g89i7h4;h3f0?6=,;8m6<h:;o01a?7732c:i>4?:%01b?7a=2d9>h4>1:9j5`7=83.9>k4>f49m67c=9;10e<k?:18'67`=9o?0b?<j:018?l7cn3:1(?<i:0d6?k45m3;?76g>dd83>!45n3;m96`=2d821>=n9mn1<7*=2g82b0=i:;o1=;54i0f`>5<#:;l1=k;4n30f>41<3`;on7>5$30e>4`23g89i7?7;:k2`d<72-89j7?i5:l16`<6121b=i750;&16c<6n<1e>?k51`98m4b?290/>?h51g78j74b28h07d?k6;29 74a28l>7c<=e;3`?>o6l<0;6)<=f;3e1>h5:l0:h65f1e694?"5:o0:j85a23g95`=<a8n86=4+23d95c3<f;8n6<h4;h3g6?6=,;8m6<h:;o01a?4732c:h<4?:%01b?7a=2d9>h4=1:9j5a6=83.9>k4>f49m67c=:;10e<mi:18'67`=9o?0b?<j:318?l7dm3:1(?<i:0d6?k45m38?76g>ce83>!45n3;m96`=2d811>=n9oi1<7*=2g82b0=i:;o1>;54i0da>5<#:;l1=k;4n30f>71<3`;mm7>5$30e>4`23g89i7<7;:k2b<<72-89j7?i5:l16`<5121b=k650;&16c<6n<1e>?k52`98m4`0290/>?h51g78j74b2;h07d?jd;29 74a28l>7c<=e;0`?>o6m;0;6)<=f;3e1>h5:l09h65f1e594?"5:o0:j85a23g96`=<a8ih6=4+23d95c3<f;8n6?h4;h`7>5<#:;l1n>5a23g94>=nj;0;6)<=f;`0?k45m3;07dl>:18'67`=j:1e>?k52:9jf5<72-89j7l<;o01a?5<3`km6=4+23d9f6=i:;o1865fad83>!45n3h87c<=e;78?lgc290/>?h5b29m67c=>21bmn4?:%01b?d43g89i794;hcb>5<#:;l1n>5a23g9<>=ni00;6)<=f;`0?k45m3307do7:18'67`=j:1e>?k5a:9je2<72-89j7l<;o01a?d<3`k=6=4+23d9f6=i:;o1o65fa483>!45n3h87c<=e;f8?lg3290/>?h5b29m67c=m21bm>4?:%01b?d43g89i7h4;hc1>5<#:;l1n>5a23g955=<ah;1<7*=2g8a7>h5:l0:=65f9g83>!45n3h87c<=e;31?>o>m3:1(?<i:c18j74b28907d7k:18'67`=j:1e>?k51598m<e=83.9>k4m3:l16`<6=21b5o4?:%01b?d43g89i7?9;:k:e?6=,;8m6o=4n30f>41<3`326=4+23d9f6=i:;o1=554i8:94?"5:o0i?6`=2d82=>=n1>0;6)<=f;`0?k45m3;j76g66;29 74a2k90b?<j:0`8?l?3290/>?h5b29m67c=9j10e4=50;&16c<e;2d9>h4>d:9j=7<72-89j7l<;o01a?7b32c2=7>5$30e>g5<f;8n6<h4;h;3>5<#:;l1n>5a23g965=<a1l1<7*=2g8a7>h5:l09=65f8d83>!45n3h87c<=e;01?>o?l3:1(?<i:c18j74b2;907d6l:18'67`=j:1e>?k52598m=d=83.9>k4m3:l16`<5=21bnl4?:%01b?d43g89i7<9;:ka=?6=,;8m6o=4n30f>71<3`h36=4+23d9f6=i:;o1>554ic594?"5:o0i?6`=2d81=>=nj?0;6)<=f;`0?k45m38j76gm5;29 74a2k90b?<j:3`8?lge290/>?h5b29m67c=:j10el>50;&16c<e;2d9>h4=d:9j=0<72-89j7l<;o01a?4b32c3m7>5$30e>g5<f;8n6?h4;n3`0?6=,;8m6<m<;o01a?6<3f;h>7>5$30e>4e43g89i7?4;n3`5?6=,;8m6<m<;o01a?4<3f;h<7>5$30e>4e43g89i7=4;n3ab?6=,;8m6<m<;o01a?2<3f;ii7>5$30e>4e43g89i7;4;n3a`?6=,;8m6<m<;o01a?0<3f;io7>5$30e>4e43g89i794;n3ae?6=,;8m6<m<;o01a?><3f;i57>5$30e>4e43g89i774;n3a<?6=,;8m6<m<;o01a?g<3f;i;7>5$30e>4e43g89i7l4;n3a2?6=,;8m6<m<;o01a?e<3f;i97>5$30e>4e43g89i7j4;n3a0?6=,;8m6<m<;o01a?c<3f;i?7>5$30e>4e43g89i7h4;n3a6?6=,;8m6<m<;o01a?7732e:n<4?:%01b?7d;2d9>h4>1:9l5d`=83.9>k4>c29m67c=9;10c<oj:18'67`=9j90b?<j:018?j7fl3:1(?<i:0a0?k45m3;?76a>ab83>!45n3;h?6`=2d821>=h9hh1<7*=2g82g6=i:;o1=;54o0cb>5<#:;l1=n=4n30f>41<3f;j57>5$30e>4e43g89i7?7;:m2e=<72-89j7?l3:l16`<6121d=l950;&16c<6k:1e>?k51`98k4g1290/>?h51b18j74b28h07b?n4;29 74a28i87c<=e;3`?>i6i:0;6)<=f;3`7>h5:l0:h65`1`094?"5:o0:o>5a23g95`=<g8k:6=4+23d95f5<f;8n6<h4;n3b4?6=,;8m6<m<;o01a?4732e:5k4?:%01b?7d;2d9>h4=1:9l5<c=83.9>k4>c29m67c=:;10c<7k:18'67`=9j90b?<j:318?j7>k3:1(?<i:0a0?k45m38?76a>9c83>!45n3;h?6`=2d811>=h9jk1<7*=2g82g6=i:;o1>;54o0a:>5<#:;l1=n=4n30f>71<3f;h47>5$30e>4e43g89i7<7;:m2g2<72-89j7?l3:l16`<5121d=n850;&16c<6k:1e>?k52`98k4e2290/>?h51b18j74b2;h07b?mb;29 74a28i87c<=e;0`?>i6j90;6)<=f;3`7>h5:l09h65`1`794?"5:o0:o>5a23g96`=<g83j6=4+23d95f5<f;8n6?h4;h3:0?6=,;8m6<7<;o01a?6<3`;2>7>5$30e>4?43g89i7?4;h3:5?6=,;8m6<7<;o01a?4<3`;2<7>5$30e>4?43g89i7=4;h3;b?6=,;8m6<7<;o01a?2<3`;3i7>5$30e>4?43g89i7;4;h3;`?6=,;8m6<7<;o01a?0<3`;3o7>5$30e>4?43g89i794;hgg>5<#:;l1in5a23g94>=nmk0;6)<=f;g`?k45m3;07dkn:18'67`=mj1e>?k52:9ja<<72-89j7kl;o01a?5<3`o36=4+23d9af=i:;o1865fe683>!45n3oh7c<=e;78?lc1290/>?h5eb9m67c=>21bi84?:%01b?cd3g89i794;hd5>5<#:;l1in5a23g9<>=nn<0;6)<=f;g`?k45m3307dh;:18'67`=mj1e>?k5a:9jb6<72-89j7kl;o01a?d<3`l96=4+23d9af=i:;o1o65ff083>!45n3oh7c<=e;f8?l`7290/>?h5eb9m67c=m21bik4?:%01b?cd3g89i7h4;hgf>5<#:;l1in5a23g955=<al>1<7*=2g8fg>h5:l0:=65`23594?"5:o09>;5a23g94>=h:;?1<7*=2g8163=i:;o1=65`23694?"5:o09>;5a23g96>=h:;91<7*=2g8163=i:;o1?65`23094?"5:o09>;5a23g90>=h:;;1<7*=2g8163=i:;o1965`23294?"5:o09>;5a23g92>=h:8l1<7*=2g8163=i:;o1;65`20f94?"5:o09>;5a23g9<>=h:8i1<7*=2g8163=i:;o1565`20`94?"5:o09>;5a23g9e>=h:8k1<7*=2g8163=i:;o1n65`20;94?"5:o09>;5a23g9g>=h:821<7*=2g8163=i:;o1h65`20594?"5:o09>;5a23g9a>=h:8<1<7*=2g8163=i:;o1j65`20794?"5:o09>;5a23g955=<g;;?6=4+23d9670<f;8n6<?4;n026?6=,;8m6?<9;o01a?7532e9=<4?:%01b?45>2d9>h4>3:9l646=83.9>k4=279m67c=9=10c?>i:18'67`=:;<0b?<j:078?j47m3:1(?<i:305?k45m3;=76a=0e83>!45n389:6`=2d823>=h:9i1<7*=2g8163=i:;o1=554o32a>5<#:;l1>?84n30f>4?<3f8;m7>5$30e>7413g89i7?n;:m14<<72-89j7<=6:l16`<6j21d>=950;&16c<5:?1e>?k51b98k761290/>?h52348j74b28n07b<?5;29 74a2;8=7c<=e;3f?>i58=0;6)<=f;012>h5:l0:j65`21194?"5:o09>;5a23g965=<g;:96=4+23d9670<f;8n6??4;n035?6=,;8m6?<9;o01a?4532e9<=4?:%01b?45>2d9>h4=3:9l5c`=83.9>k4=279m67c=:=10c<hj:18'67`=:;<0b?<j:378?j45l3:1(?<i:305?k45m38=76a=2b83>!45n389:6`=2d813>=h:;h1<7*=2g8163=i:;o1>554o30b>5<#:;l1>?84n30f>7?<3f8957>5$30e>7413g89i7<n;:m16=<72-89j7<=6:l16`<5j21d><k50;&16c<5:?1e>?k52b98k774290/>?h52348j74b2;n07b<?8;29 74a2;8=7c<=e;0f?>i6nm0;6)<=f;012>h5:l09j65f3983>!45n39<7c<=e;28?l51290/>?h5369m67c=921b?84?:%01b?503g89i7<4;h17>5<#:;l1?:5a23g97>=n;:0;6)<=f;14?k45m3>07d==:18'67`=;>1e>?k55:9j74<72-89j7=8;o01a?0<3`9;6=4+23d972=i:;o1;65f4483>!45n39<7c<=e;:8?l23290/>?h5369m67c=121b8>4?:%01b?503g89i7o4;h61>5<#:;l1?:5a23g9f>=n<80;6)<=f;14?k45m3i07d:?:18'67`=;>1e>?k5d:9j7c<72-89j7=8;o01a?c<3`9n6=4+23d972=i:;o1j65f3c83>!45n39<7c<=e;33?>o5n3:1(?<i:258j74b28;07b?8c;29 74a28=i7c<=e;28?j70i3:1(?<i:05a?k45m3;07b?89;29 74a28=i7c<=e;08?j7003:1(?<i:05a?k45m3907b?87;29 74a28=i7c<=e;68?j70>3:1(?<i:05a?k45m3?07b?84;29 74a28=i7c<=e;48?j70;3:1(?<i:05a?k45m3=07b?82;29 74a28=i7c<=e;:8?j7093:1(?<i:05a?k45m3307b?80;29 74a28=i7c<=e;c8?j71n3:1(?<i:05a?k45m3h07b?9e;29 74a28=i7c<=e;a8?j71l3:1(?<i:05a?k45m3n07b?9c;29 74a28=i7c<=e;g8?j71j3:1(?<i:05a?k45m3l07b?99;29 74a28=i7c<=e;33?>i6>10;6)<=f;34f>h5:l0:=65`17594?"5:o0:;o5a23g957=<g8<=6=4+23d952d<f;8n6<=4;n351?6=,;8m6<9m;o01a?7332e::94?:%01b?70j2d9>h4>5:9l535=83.9>k4>7c9m67c=9?10c<8=:18'67`=9>h0b?<j:058?j7193:1(?<i:05a?k45m3;376a>6183>!45n3;<n6`=2d82=>=h9191<7*=2g823g=i:;o1=l54o0:1>5<#:;l1=:l4n30f>4d<3f;3=7>5$30e>41e3g89i7?l;:m2<5<72-89j7?8b:l16`<6l21d=:h50;&16c<6?k1e>?k51d98k41b290/>?h516`8j74b28l07b?8d;29 74a28=i7c<=e;03?>i6?<0;6)<=f;34f>h5:l09=65`17c94?"5:o0:;o5a23g967=<g8?m6=4+23d952d<f;8n6?=4;h4b>5<#:;l1:45a23g94>N50010e;650;&16c<112d9>h4>;I0;=>=n>>0;6)<=f;4:?k45m380D?66;:k52?6=,;8m6;74n30f>6=O:1307d8::18'67`=>01e>?k54:J1<<=<a?>1<7*=2g85=>h5:l0>7E<79:9j26<72-89j786;o01a?0<@;2276g92;29 74a2?30b?<j:69K6=?<3`=86=4+23d92<=i:;o146F=8898m24=83.9>k499:l16`<>3A83565f7083>!45n3<27c<=e;c8L7>>32c<<7>5$30e>3?<f;8n6o5G29;8?l0a290/>?h5689m67c=k2B94454i7g94?"5:o0=56`=2d8g?M4?121b:i4?:%01b?0>3g89i7k4H3::?>o1k3:1(?<i:7;8j74b2o1C>574;h4a>5<#:;l1:45a23g955=O:1307d8>:18'67`=>01e>?k5109K6=?<3th9oh4?:07b>5<7s-83j7?;b:J1=0=O:0:0V595bz04>f<6j3;26447:0:95d<f2>0:;7s+1849125<f;31=6`=b;38j1>=92d?57?4nca94>"el3;3n6`j2;28j42f291e=5:51:l2=0<63g88<7?4$31g>7>b3gi;6=5fc783>>o5;>0;66g=3983>>od?3:17d<<9;29?le>2900en;50;9lfc<722c9?o4?::k17d<722chh7>5;h002?6=3`i36=44ib694?=njk0;66gla;29?le42900cok50;9j663=831b>>m50;9j16<72-89j7;=;o01a?6<3`?:6=4+23d917=i:;o1=65f5183>!45n3?97c<=e;08?l2a290/>?h5539m67c=;21b8h4?:%01b?353g89i7:4;h6g>5<#:;l19?5a23g91>=n<j0;6)<=f;71?k45m3<07d:m:18'67`==;1e>?k57:9j25<72-89j7;=;o01a?><3`?m6=4+23d917=i:;o1565f5d83>!45n3?97c<=e;c8?l3c290/>?h5539m67c=j21b9n4?:%01b?353g89i7m4;h7a>5<#:;l19?5a23g9`>=n=h0;6)<=f;71?k45m3o07d;6:18'67`==;1e>?k5f:9j13<72-89j7;=;o01a?7732c?m7>5$30e>04<f;8n6<?4;n5g>5<#:;l1;n5a23g94>=h?k0;6)<=f;5`?k45m3;07b9n:18'67`=?j1e>?k52:9l3<<72-89j79l;o01a?5<3f=36=4+23d93f=i:;o1865`7683>!45n3=h7c<=e;78?j11290/>?h57b9m67c=>21d;84?:%01b?1d3g89i794;n:5>5<#:;l1;n5a23g9<>=h0<0;6)<=f;5`?k45m3307b6;:18'67`=?j1e>?k5a:9l<6<72-89j79l;o01a?d<3f296=4+23d93f=i:;o1o65`8083>!45n3=h7c<=e;f8?j>7290/>?h57b9m67c=m21d;k4?:%01b?1d3g89i7h4;n5f>5<#:;l1;n5a23g955=<g>>1<7*=2g84g>h5:l0:=65f1g494?"5:o0:j85a23g94>=n9o>1<7*=2g82b0=i:;o1=65f1g194?"5:o0:j85a23g96>=n9o81<7*=2g82b0=i:;o1?65f1g394?"5:o0:j85a23g90>=n9o:1<7*=2g82b0=i:;o1965f1dd94?"5:o0:j85a23g92>=n9lo1<7*=2g82b0=i:;o1;65f1da94?"5:o0:j85a23g9<>=n9lh1<7*=2g82b0=i:;o1565f1dc94?"5:o0:j85a23g9e>=n9l31<7*=2g82b0=i:;o1n65f1d:94?"5:o0:j85a23g9g>=n9l=1<7*=2g82b0=i:;o1h65f1d494?"5:o0:j85a23g9a>=n9l?1<7*=2g82b0=i:;o1j65f1d694?"5:o0:j85a23g955=<a8o86=4+23d95c3<f;8n6<?4;h3f5?6=,;8m6<h:;o01a?7532c:i=4?:%01b?7a=2d9>h4>3:9j5a`=83.9>k4>f49m67c=9=10e<jj:18'67`=9o?0b?<j:078?l7cl3:1(?<i:0d6?k45m3;=76g>db83>!45n3;m96`=2d823>=n9mh1<7*=2g82b0=i:;o1=554i0fb>5<#:;l1=k;4n30f>4?<3`;o57>5$30e>4`23g89i7?n;:k2`=<72-89j7?i5:l16`<6j21b=i850;&16c<6n<1e>?k51b98m4b2290/>?h51g78j74b28n07d?k4;29 74a28l>7c<=e;3f?>o6l:0;6)<=f;3e1>h5:l0:j65f1e094?"5:o0:j85a23g965=<a8n:6=4+23d95c3<f;8n6??4;h3g4?6=,;8m6<h:;o01a?4532c:ok4?:%01b?7a=2d9>h4=3:9j5fc=83.9>k4>f49m67c=:=10e<mk:18'67`=9o?0b?<j:378?l7ak3:1(?<i:0d6?k45m38=76g>fc83>!45n3;m96`=2d813>=n9ok1<7*=2g82b0=i:;o1>554i0d:>5<#:;l1=k;4n30f>7?<3`;m47>5$30e>4`23g89i7<n;:k2b2<72-89j7?i5:l16`<5j21b=hj50;&16c<6n<1e>?k52b98m4c5290/>?h51g78j74b2;n07d?k7;29 74a28l>7c<=e;0f?>o6kj0;6)<=f;3e1>h5:l09j65fb583>!45n3h87c<=e;28?ld5290/>?h5b29m67c=921bn<4?:%01b?d43g89i7<4;h`3>5<#:;l1n>5a23g97>=nio0;6)<=f;`0?k45m3>07doj:18'67`=j:1e>?k55:9jea<72-89j7l<;o01a?0<3`kh6=4+23d9f6=i:;o1;65fa`83>!45n3h87c<=e;:8?lg>290/>?h5b29m67c=121bm54?:%01b?d43g89i7o4;hc4>5<#:;l1n>5a23g9f>=ni?0;6)<=f;`0?k45m3i07do::18'67`=j:1e>?k5d:9je1<72-89j7l<;o01a?c<3`k86=4+23d9f6=i:;o1j65fa383>!45n3h87c<=e;33?>of93:1(?<i:c18j74b28;07d7i:18'67`=j:1e>?k51398m<c=83.9>k4m3:l16`<6;21b5i4?:%01b?d43g89i7?;;:k:g?6=,;8m6o=4n30f>43<3`3i6=4+23d9f6=i:;o1=;54i8c94?"5:o0i?6`=2d823>=n100;6)<=f;`0?k45m3;376g68;29 74a2k90b?<j:0;8?l?0290/>?h5b29m67c=9h10e4850;&16c<e;2d9>h4>b:9j=1<72-89j7l<;o01a?7d32c2?7>5$30e>g5<f;8n6<j4;h;1>5<#:;l1n>5a23g95`=<a0;1<7*=2g8a7>h5:l0:j65f9183>!45n3h87c<=e;03?>o?n3:1(?<i:c18j74b2;;07d6j:18'67`=j:1e>?k52398m=b=83.9>k4m3:l16`<5;21b4n4?:%01b?d43g89i7<;;:k;f?6=,;8m6o=4n30f>73<3`hj6=4+23d9f6=i:;o1>;54ic;94?"5:o0i?6`=2d813>=nj10;6)<=f;`0?k45m38376gm7;29 74a2k90b?<j:3;8?ld1290/>?h5b29m67c=:h10eo;50;&16c<e;2d9>h4=b:9jeg<72-89j7l<;o01a?4d32cj<7>5$30e>g5<f;8n6?j4;h;6>5<#:;l1n>5a23g96`=<a1k1<7*=2g8a7>h5:l09j65`1b694?"5:o0:o>5a23g94>=h9j81<7*=2g82g6=i:;o1=65`1b394?"5:o0:o>5a23g96>=h9j:1<7*=2g82g6=i:;o1?65`1cd94?"5:o0:o>5a23g90>=h9ko1<7*=2g82g6=i:;o1965`1cf94?"5:o0:o>5a23g92>=h9ki1<7*=2g82g6=i:;o1;65`1cc94?"5:o0:o>5a23g9<>=h9k31<7*=2g82g6=i:;o1565`1c:94?"5:o0:o>5a23g9e>=h9k=1<7*=2g82g6=i:;o1n65`1c494?"5:o0:o>5a23g9g>=h9k?1<7*=2g82g6=i:;o1h65`1c694?"5:o0:o>5a23g9a>=h9k91<7*=2g82g6=i:;o1j65`1c094?"5:o0:o>5a23g955=<g8h:6=4+23d95f5<f;8n6<?4;n3bb?6=,;8m6<m<;o01a?7532e:mh4?:%01b?7d;2d9>h4>3:9l5db=83.9>k4>c29m67c=9=10c<ol:18'67`=9j90b?<j:078?j7fj3:1(?<i:0a0?k45m3;=76a>a`83>!45n3;h?6`=2d823>=h9h31<7*=2g82g6=i:;o1=554o0c;>5<#:;l1=n=4n30f>4?<3f;j;7>5$30e>4e43g89i7?n;:m2e3<72-89j7?l3:l16`<6j21d=l:50;&16c<6k:1e>?k51b98k4g4290/>?h51b18j74b28n07b?n2;29 74a28i87c<=e;3f?>i6i80;6)<=f;3`7>h5:l0:j65`1`294?"5:o0:o>5a23g965=<g83m6=4+23d95f5<f;8n6??4;n3:a?6=,;8m6<m<;o01a?4532e:5i4?:%01b?7d;2d9>h4=3:9l5<e=83.9>k4>c29m67c=:=10c<7m:18'67`=9j90b?<j:378?j7di3:1(?<i:0a0?k45m38=76a>c883>!45n3;h?6`=2d813>=h9j21<7*=2g82g6=i:;o1>554o0a4>5<#:;l1=n=4n30f>7?<3f;h:7>5$30e>4e43g89i7<n;:m2g0<72-89j7?l3:l16`<5j21d=ol50;&16c<6k:1e>?k52b98k4d7290/>?h51b18j74b2;n07b?n5;29 74a28i87c<=e;0f?>i61h0;6)<=f;3`7>h5:l09j65f18694?"5:o0:5>5a23g94>=n9081<7*=2g82=6=i:;o1=65f18394?"5:o0:5>5a23g96>=n90:1<7*=2g82=6=i:;o1?65f19d94?"5:o0:5>5a23g90>=n91o1<7*=2g82=6=i:;o1965f19f94?"5:o0:5>5a23g92>=n91i1<7*=2g82=6=i:;o1;65fee83>!45n3oh7c<=e;28?lce290/>?h5eb9m67c=921bil4?:%01b?cd3g89i7<4;hg:>5<#:;l1in5a23g97>=nm10;6)<=f;g`?k45m3>07dk8:18'67`=mj1e>?k55:9ja3<72-89j7kl;o01a?0<3`o>6=4+23d9af=i:;o1;65ff783>!45n3oh7c<=e;:8?l`2290/>?h5eb9m67c=121bj94?:%01b?cd3g89i7o4;hd0>5<#:;l1in5a23g9f>=nn;0;6)<=f;g`?k45m3i07dh>:18'67`=mj1e>?k5d:9jb5<72-89j7kl;o01a?c<3`om6=4+23d9af=i:;o1j65fed83>!45n3oh7c<=e;33?>ob<3:1(?<i:da8j74b28;07b<=7;29 74a2;8=7c<=e;28?j45=3:1(?<i:305?k45m3;07b<=4;29 74a2;8=7c<=e;08?j45;3:1(?<i:305?k45m3907b<=2;29 74a2;8=7c<=e;68?j4593:1(?<i:305?k45m3?07b<=0;29 74a2;8=7c<=e;48?j46n3:1(?<i:305?k45m3=07b<>d;29 74a2;8=7c<=e;:8?j46k3:1(?<i:305?k45m3307b<>b;29 74a2;8=7c<=e;c8?j46i3:1(?<i:305?k45m3h07b<>9;29 74a2;8=7c<=e;a8?j4603:1(?<i:305?k45m3n07b<>7;29 74a2;8=7c<=e;g8?j46>3:1(?<i:305?k45m3l07b<>5;29 74a2;8=7c<=e;33?>i59=0;6)<=f;012>h5:l0:=65`20094?"5:o09>;5a23g957=<g;;:6=4+23d9670<f;8n6<=4;n024?6=,;8m6?<9;o01a?7332e9<k4?:%01b?45>2d9>h4>5:9l65c=83.9>k4=279m67c=9?10c?>k:18'67`=:;<0b?<j:058?j47k3:1(?<i:305?k45m3;376a=0c83>!45n389:6`=2d82=>=h:9k1<7*=2g8163=i:;o1=l54o32:>5<#:;l1>?84n30f>4d<3f8;;7>5$30e>7413g89i7?l;:m143<72-89j7<=6:l16`<6l21d>=;50;&16c<5:?1e>?k51d98k763290/>?h52348j74b28l07b<?3;29 74a2;8=7c<=e;03?>i58;0;6)<=f;012>h5:l09=65`21394?"5:o09>;5a23g967=<g;:;6=4+23d9670<f;8n6?=4;n3eb?6=,;8m6?<9;o01a?4332e:jh4?:%01b?45>2d9>h4=5:9l67b=83.9>k4=279m67c=:?10c?<l:18'67`=:;<0b?<j:358?j45j3:1(?<i:305?k45m38376a=2`83>!45n389:6`=2d81=>=h:;31<7*=2g8163=i:;o1>l54o30;>5<#:;l1>?84n30f>7d<3f8:i7>5$30e>7413g89i7<l;:m156<72-89j7<=6:l16`<5l21d>=650;&16c<5:?1e>?k52d98k4`c290/>?h52348j74b2;l07d=7:18'67`=;>1e>?k50:9j73<72-89j7=8;o01a?7<3`9>6=4+23d972=i:;o1>65f3583>!45n39<7c<=e;18?l54290/>?h5369m67c=<21b??4?:%01b?503g89i7;4;h12>5<#:;l1?:5a23g92>=n;90;6)<=f;14?k45m3=07d:::18'67`=;>1e>?k58:9j01<72-89j7=8;o01a??<3`>86=4+23d972=i:;o1m65f4383>!45n39<7c<=e;`8?l26290/>?h5369m67c=k21b8=4?:%01b?503g89i7j4;h1e>5<#:;l1?:5a23g9a>=n;l0;6)<=f;14?k45m3l07d=m:18'67`=;>1e>?k51198m7`=83.9>k4<7:l16`<6921d=:m50;&16c<6?k1e>?k50:9l52g=83.9>k4>7c9m67c=921d=:750;&16c<6?k1e>?k52:9l52>=83.9>k4>7c9m67c=;21d=:950;&16c<6?k1e>?k54:9l520=83.9>k4>7c9m67c==21d=::50;&16c<6?k1e>?k56:9l525=83.9>k4>7c9m67c=?21d=:<50;&16c<6?k1e>?k58:9l527=83.9>k4>7c9m67c=121d=:>50;&16c<6?k1e>?k5a:9l53`=83.9>k4>7c9m67c=j21d=;k50;&16c<6?k1e>?k5c:9l53b=83.9>k4>7c9m67c=l21d=;m50;&16c<6?k1e>?k5e:9l53d=83.9>k4>7c9m67c=n21d=;750;&16c<6?k1e>?k51198k40?290/>?h516`8j74b28;07b?97;29 74a28=i7c<=e;31?>i6>?0;6)<=f;34f>h5:l0:?65`17794?"5:o0:;o5a23g951=<g8<?6=4+23d952d<f;8n6<;4;n357?6=,;8m6<9m;o01a?7132e::?4?:%01b?70j2d9>h4>7:9l537=83.9>k4>7c9m67c=9110c<8?:18'67`=9>h0b?<j:0;8?j7?;3:1(?<i:05a?k45m3;j76a>8383>!45n3;<n6`=2d82f>=h91;1<7*=2g823g=i:;o1=n54o0:3>5<#:;l1=:l4n30f>4b<3f;<j7>5$30e>41e3g89i7?j;:m23`<72-89j7?8b:l16`<6n21d=:j50;&16c<6?k1e>?k52198k412290/>?h516`8j74b2;;07b?9a;29 74a28=i7c<=e;01?>i6=o0;6)<=f;34f>h5:l09?65f6`83>!45n3<27c<=e;28L7>>32c=47>5$30e>3?<f;8n6<5G29;8?l00290/>?h5689m67c=:2B94454i7494?"5:o0=56`=2d80?M4?121b:84?:%01b?0>3g89i7:4H3::?>o1<3:1(?<i:7;8j74b2<1C>574;h40>5<#:;l1:45a23g92>N50010e;<50;&16c<112d9>h48;I0;=>=n?:0;6)<=f;4:?k45m320D?66;:k46?6=,;8m6;74n30f><=O:1307d9>:18'67`=>01e>?k5a:J1<<=<a>:1<7*=2g85=>h5:l0i7E<79:9j2c<72-89j786;o01a?e<@;2276g9e;29 74a2?30b?<j:e9K6=?<3`<o6=4+23d92<=i:;o1i6F=8898m3e=83.9>k499:l16`<a3A83565f6c83>!45n3<27c<=e;33?M4?121b:<4?:%01b?0>3g89i7?>;I0;=>=zj;im6=4>5`83>5}#:1l1=9l4H3;6?M4>82P3;7lt268`>4d=9002654>8;3b>d<028=1q)?66;747>h513:0b?l51:l7<?6<f=31=6`mc;28 gb=91h0bh<50:l20d<73g;387?4n0;6>4=i:::1=6*=3e81<`=ik90;7dm9:188m7502900e?=7:188mf1=831b>>750;9jg<<722ch97>5;n`e>5<<a;9i6=44i31b>5<<ajn1<75f22494?=nk10;66gl4;29?lde2900eno50;9jg6<722eii7>5;h001?6=3`88o7>5;h70>5<#:;l19?5a23g94>=n=80;6)<=f;71?k45m3;07d;?:18'67`==;1e>?k52:9j0c<72-89j7;=;o01a?5<3`>n6=4+23d917=i:;o1865f4e83>!45n3?97c<=e;78?l2d290/>?h5539m67c=>21b8o4?:%01b?353g89i794;h43>5<#:;l19?5a23g9<>=n=o0;6)<=f;71?k45m3307d;j:18'67`==;1e>?k5a:9j1a<72-89j7;=;o01a?d<3`?h6=4+23d917=i:;o1o65f5c83>!45n3?97c<=e;f8?l3f290/>?h5539m67c=m21b944?:%01b?353g89i7h4;h75>5<#:;l19?5a23g955=<a=k1<7*=2g866>h5:l0:=65`7e83>!45n3=h7c<=e;28?j1e290/>?h57b9m67c=921d;l4?:%01b?1d3g89i7<4;n5:>5<#:;l1;n5a23g97>=h?10;6)<=f;5`?k45m3>07b98:18'67`=?j1e>?k55:9l33<72-89j79l;o01a?0<3f=>6=4+23d93f=i:;o1;65`8783>!45n3=h7c<=e;:8?j>2290/>?h57b9m67c=121d494?:%01b?1d3g89i7o4;n:0>5<#:;l1;n5a23g9f>=h0;0;6)<=f;5`?k45m3i07b6>:18'67`=?j1e>?k5d:9l<5<72-89j79l;o01a?c<3f=m6=4+23d93f=i:;o1j65`7d83>!45n3=h7c<=e;33?>i0<3:1(?<i:6a8j74b28;07d?i6;29 74a28l>7c<=e;28?l7a<3:1(?<i:0d6?k45m3;07d?i3;29 74a28l>7c<=e;08?l7a:3:1(?<i:0d6?k45m3907d?i1;29 74a28l>7c<=e;68?l7a83:1(?<i:0d6?k45m3?07d?jf;29 74a28l>7c<=e;48?l7bm3:1(?<i:0d6?k45m3=07d?jc;29 74a28l>7c<=e;:8?l7bj3:1(?<i:0d6?k45m3307d?ja;29 74a28l>7c<=e;c8?l7b13:1(?<i:0d6?k45m3h07d?j8;29 74a28l>7c<=e;a8?l7b?3:1(?<i:0d6?k45m3n07d?j6;29 74a28l>7c<=e;g8?l7b=3:1(?<i:0d6?k45m3l07d?j4;29 74a28l>7c<=e;33?>o6m:0;6)<=f;3e1>h5:l0:=65f1d394?"5:o0:j85a23g957=<a8o;6=4+23d95c3<f;8n6<=4;h3gb?6=,;8m6<h:;o01a?7332c:hh4?:%01b?7a=2d9>h4>5:9j5ab=83.9>k4>f49m67c=9?10e<jl:18'67`=9o?0b?<j:058?l7cj3:1(?<i:0d6?k45m3;376g>d`83>!45n3;m96`=2d82=>=n9m31<7*=2g82b0=i:;o1=l54i0f;>5<#:;l1=k;4n30f>4d<3`;o:7>5$30e>4`23g89i7?l;:k2`0<72-89j7?i5:l16`<6l21b=i:50;&16c<6n<1e>?k51d98m4b4290/>?h51g78j74b28l07d?k2;29 74a28l>7c<=e;03?>o6l80;6)<=f;3e1>h5:l09=65f1e294?"5:o0:j85a23g967=<a8im6=4+23d95c3<f;8n6?=4;h3`a?6=,;8m6<h:;o01a?4332c:oi4?:%01b?7a=2d9>h4=5:9j5ce=83.9>k4>f49m67c=:?10e<hm:18'67`=9o?0b?<j:358?l7ai3:1(?<i:0d6?k45m38376g>f883>!45n3;m96`=2d81=>=n9o21<7*=2g82b0=i:;o1>l54i0d4>5<#:;l1=k;4n30f>7d<3`;nh7>5$30e>4`23g89i7<l;:k2a7<72-89j7?i5:l16`<5l21b=i950;&16c<6n<1e>?k52d98m4ed290/>?h51g78j74b2;l07dl;:18'67`=j:1e>?k50:9jf7<72-89j7l<;o01a?7<3`h:6=4+23d9f6=i:;o1>65fb183>!45n3h87c<=e;18?lga290/>?h5b29m67c=<21bmh4?:%01b?d43g89i7;4;hcg>5<#:;l1n>5a23g92>=nij0;6)<=f;`0?k45m3=07don:18'67`=j:1e>?k58:9je<<72-89j7l<;o01a??<3`k36=4+23d9f6=i:;o1m65fa683>!45n3h87c<=e;`8?lg1290/>?h5b29m67c=k21bm84?:%01b?d43g89i7j4;hc7>5<#:;l1n>5a23g9a>=ni:0;6)<=f;`0?k45m3l07do=:18'67`=j:1e>?k51198md7=83.9>k4m3:l16`<6921b5k4?:%01b?d43g89i7?=;:k:a?6=,;8m6o=4n30f>45<3`3o6=4+23d9f6=i:;o1=954i8a94?"5:o0i?6`=2d821>=n1k0;6)<=f;`0?k45m3;=76g6a;29 74a2k90b?<j:058?l?>290/>?h5b29m67c=9110e4650;&16c<e;2d9>h4>9:9j=2<72-89j7l<;o01a?7f32c2:7>5$30e>g5<f;8n6<l4;h;7>5<#:;l1n>5a23g95f=<a091<7*=2g8a7>h5:l0:h65f9383>!45n3h87c<=e;3f?>o>93:1(?<i:c18j74b28l07d7?:18'67`=j:1e>?k52198m=`=83.9>k4m3:l16`<5921b4h4?:%01b?d43g89i7<=;:k;`?6=,;8m6o=4n30f>75<3`2h6=4+23d9f6=i:;o1>954i9`94?"5:o0i?6`=2d811>=njh0;6)<=f;`0?k45m38=76gm9;29 74a2k90b?<j:358?ld?290/>?h5b29m67c=:110eo950;&16c<e;2d9>h4=9:9jf3<72-89j7l<;o01a?4f32ci97>5$30e>g5<f;8n6?l4;hca>5<#:;l1n>5a23g96f=<ah:1<7*=2g8a7>h5:l09h65f9483>!45n3h87c<=e;0f?>o?i3:1(?<i:c18j74b2;l07b?l4;29 74a28i87c<=e;28?j7d:3:1(?<i:0a0?k45m3;07b?l1;29 74a28i87c<=e;08?j7d83:1(?<i:0a0?k45m3907b?mf;29 74a28i87c<=e;68?j7em3:1(?<i:0a0?k45m3?07b?md;29 74a28i87c<=e;48?j7ek3:1(?<i:0a0?k45m3=07b?ma;29 74a28i87c<=e;:8?j7e13:1(?<i:0a0?k45m3307b?m8;29 74a28i87c<=e;c8?j7e?3:1(?<i:0a0?k45m3h07b?m6;29 74a28i87c<=e;a8?j7e=3:1(?<i:0a0?k45m3n07b?m4;29 74a28i87c<=e;g8?j7e;3:1(?<i:0a0?k45m3l07b?m2;29 74a28i87c<=e;33?>i6j80;6)<=f;3`7>h5:l0:=65`1`d94?"5:o0:o>5a23g957=<g8kn6=4+23d95f5<f;8n6<=4;n3b`?6=,;8m6<m<;o01a?7332e:mn4?:%01b?7d;2d9>h4>5:9l5dd=83.9>k4>c29m67c=9?10c<on:18'67`=9j90b?<j:058?j7f13:1(?<i:0a0?k45m3;376a>a983>!45n3;h?6`=2d82=>=h9h=1<7*=2g82g6=i:;o1=l54o0c5>5<#:;l1=n=4n30f>4d<3f;j87>5$30e>4e43g89i7?l;:m2e6<72-89j7?l3:l16`<6l21d=l<50;&16c<6k:1e>?k51d98k4g6290/>?h51b18j74b28l07b?n0;29 74a28i87c<=e;03?>i61o0;6)<=f;3`7>h5:l09=65`18g94?"5:o0:o>5a23g967=<g83o6=4+23d95f5<f;8n6?=4;n3:g?6=,;8m6<m<;o01a?4332e:5o4?:%01b?7d;2d9>h4=5:9l5fg=83.9>k4>c29m67c=:?10c<m6:18'67`=9j90b?<j:358?j7d03:1(?<i:0a0?k45m38376a>c683>!45n3;h?6`=2d81=>=h9j<1<7*=2g82g6=i:;o1>l54o0a6>5<#:;l1=n=4n30f>7d<3f;in7>5$30e>4e43g89i7<l;:m2f5<72-89j7?l3:l16`<5l21d=l;50;&16c<6k:1e>?k52d98k4?f290/>?h51b18j74b2;l07d?64;29 74a28387c<=e;28?l7>:3:1(?<i:0;0?k45m3;07d?61;29 74a28387c<=e;08?l7>83:1(?<i:0;0?k45m3907d?7f;29 74a28387c<=e;68?l7?m3:1(?<i:0;0?k45m3?07d?7d;29 74a28387c<=e;48?l7?k3:1(?<i:0;0?k45m3=07dkk:18'67`=mj1e>?k50:9jag<72-89j7kl;o01a?7<3`oj6=4+23d9af=i:;o1>65fe883>!45n3oh7c<=e;18?lc?290/>?h5eb9m67c=<21bi:4?:%01b?cd3g89i7;4;hg5>5<#:;l1in5a23g92>=nm<0;6)<=f;g`?k45m3=07dh9:18'67`=mj1e>?k58:9jb0<72-89j7kl;o01a??<3`l?6=4+23d9af=i:;o1m65ff283>!45n3oh7c<=e;`8?l`5290/>?h5eb9m67c=k21bj<4?:%01b?cd3g89i7j4;hd3>5<#:;l1in5a23g9a>=nmo0;6)<=f;g`?k45m3l07dkj:18'67`=mj1e>?k51198m`2=83.9>k4jc:l16`<6921d>?950;&16c<5:?1e>?k50:9l673=83.9>k4=279m67c=921d>?:50;&16c<5:?1e>?k52:9l675=83.9>k4=279m67c=;21d>?<50;&16c<5:?1e>?k54:9l677=83.9>k4=279m67c==21d>?>50;&16c<5:?1e>?k56:9l64`=83.9>k4=279m67c=?21d><j50;&16c<5:?1e>?k58:9l64e=83.9>k4=279m67c=121d><l50;&16c<5:?1e>?k5a:9l64g=83.9>k4=279m67c=j21d><750;&16c<5:?1e>?k5c:9l64>=83.9>k4=279m67c=l21d><950;&16c<5:?1e>?k5e:9l640=83.9>k4=279m67c=n21d><;50;&16c<5:?1e>?k51198k773290/>?h52348j74b28;07b<>2;29 74a2;8=7c<=e;31?>i5980;6)<=f;012>h5:l0:?65`20294?"5:o09>;5a23g951=<g;:m6=4+23d9670<f;8n6<;4;n03a?6=,;8m6?<9;o01a?7132e9<i4?:%01b?45>2d9>h4>7:9l65e=83.9>k4=279m67c=9110c?>m:18'67`=:;<0b?<j:0;8?j47i3:1(?<i:305?k45m3;j76a=0883>!45n389:6`=2d82f>=h:9=1<7*=2g8163=i:;o1=n54o325>5<#:;l1>?84n30f>4b<3f8;97>5$30e>7413g89i7?j;:m141<72-89j7<=6:l16`<6n21d>==50;&16c<5:?1e>?k52198k765290/>?h52348j74b2;;07b<?1;29 74a2;8=7c<=e;01?>i5890;6)<=f;012>h5:l09?65`1gd94?"5:o09>;5a23g961=<g8ln6=4+23d9670<f;8n6?;4;n01`?6=,;8m6?<9;o01a?4132e9>n4?:%01b?45>2d9>h4=7:9l67d=83.9>k4=279m67c=:110c?<n:18'67`=:;<0b?<j:3;8?j4513:1(?<i:305?k45m38j76a=2983>!45n389:6`=2d81f>=h:8o1<7*=2g8163=i:;o1>n54o330>5<#:;l1>?84n30f>7b<3f8;47>5$30e>7413g89i7<j;:m2ba<72-89j7<=6:l16`<5n21b?54?:%01b?503g89i7>4;h15>5<#:;l1?:5a23g95>=n;<0;6)<=f;14?k45m3807d=;:18'67`=;>1e>?k53:9j76<72-89j7=8;o01a?2<3`996=4+23d972=i:;o1965f3083>!45n39<7c<=e;48?l57290/>?h5369m67c=?21b884?:%01b?503g89i764;h67>5<#:;l1?:5a23g9=>=n<:0;6)<=f;14?k45m3k07d:=:18'67`=;>1e>?k5b:9j04<72-89j7=8;o01a?e<3`>;6=4+23d972=i:;o1h65f3g83>!45n39<7c<=e;g8?l5b290/>?h5369m67c=n21b?o4?:%01b?503g89i7??;:k1b?6=,;8m6>94n30f>47<3f;<o7>5$30e>41e3g89i7>4;n34e?6=,;8m6<9m;o01a?7<3f;<57>5$30e>41e3g89i7<4;n34<?6=,;8m6<9m;o01a?5<3f;<;7>5$30e>41e3g89i7:4;n342?6=,;8m6<9m;o01a?3<3f;<87>5$30e>41e3g89i784;n347?6=,;8m6<9m;o01a?1<3f;<>7>5$30e>41e3g89i764;n345?6=,;8m6<9m;o01a??<3f;<<7>5$30e>41e3g89i7o4;n35b?6=,;8m6<9m;o01a?d<3f;=i7>5$30e>41e3g89i7m4;n35`?6=,;8m6<9m;o01a?b<3f;=o7>5$30e>41e3g89i7k4;n35f?6=,;8m6<9m;o01a?`<3f;=57>5$30e>41e3g89i7??;:m22=<72-89j7?8b:l16`<6921d=;950;&16c<6?k1e>?k51398k401290/>?h516`8j74b28907b?95;29 74a28=i7c<=e;37?>i6>=0;6)<=f;34f>h5:l0:965`17194?"5:o0:;o5a23g953=<g8<96=4+23d952d<f;8n6<94;n355?6=,;8m6<9m;o01a?7?32e::=4?:%01b?70j2d9>h4>9:9l5=5=83.9>k4>7c9m67c=9h10c<6=:18'67`=9>h0b?<j:0`8?j7?93:1(?<i:05a?k45m3;h76a>8183>!45n3;<n6`=2d82`>=h9>l1<7*=2g823g=i:;o1=h54o05f>5<#:;l1=:l4n30f>4`<3f;<h7>5$30e>41e3g89i7<?;:m230<72-89j7?8b:l16`<5921d=;o50;&16c<6?k1e>?k52398k43a290/>?h516`8j74b2;907d8n:18'67`=>01e>?k50:J1<<=<a?21<7*=2g85=>h5:l0:7E<79:9j22<72-89j786;o01a?4<@;2276g96;29 74a2?30b?<j:29K6=?<3`<>6=4+23d92<=i:;o186F=8898m32=83.9>k499:l16`<23A83565f6283>!45n3<27c<=e;48L7>>32c=>7>5$30e>3?<f;8n6:5G29;8?l14290/>?h5689m67c=02B94454i6094?"5:o0=56`=2d8:?M4?121b;<4?:%01b?0>3g89i7o4H3::?>o083:1(?<i:7;8j74b2k1C>574;h4e>5<#:;l1:45a23g9g>N50010e;k50;&16c<112d9>h4k;I0;=>=n>m0;6)<=f;4:?k45m3o0D?66;:k5g?6=,;8m6;74n30f>c=O:1307d8m:18'67`=>01e>?k5119K6=?<3`<:6=4+23d92<=i:;o1=<5G29;8?xd5l90;6?950;31M4>82.94k4:639Y<2<5sk0o6pg>0283>!45n3;;>6`=2d83?>o6880;6)<=f;336>h5:l0:76gif;29 74a28:97c<=e;08?l`b290/>?h51108j74b2:10ekj50;&16c<68;1e>?k54:9jbf<72-89j7??2:l16`<232cmn7>5$30e>4653g89i784;hdb>5<#:;l1==<4n30f>2=<a88;6=4+23d9554<f;8n6554i03e>5<#:;l1==<4n30f><=<a8;n6=4+23d9554<f;8n6l54i03g>5<#:;l1==<4n30f>g=<a8;h6=4+23d9554<f;8n6n54i03a>5<#:;l1==<4n30f>a=<a8;26=4+23d9554<f;8n6h54i032>5<#:;l1==<4n30f>c=<a8:=6=4+23d9554<f;8n6<>4;hd:>5<#:;l1==<4n30f>47<3`io6=44ib094?=n:1<1<75`13a94?"5:o0:>o5a23g94>=h9;k1<7*=2g826g=i:;o1=65`13:94?"5:o0:>o5a23g96>=h9;=1<7*=2g826g=i:;o1?65`13494?"5:o0:>o5a23g90>=h9;?1<7*=2g826g=i:;o1965`13694?"5:o0:>o5a23g92>=h9;91<7*=2g826g=i:;o1;65`15;94?"5:o0:>o5a23g9<>=h9=21<7*=2g826g=i:;o1565`15594?"5:o0:>o5a23g9e>=h9=<1<7*=2g826g=i:;o1n65`15794?"5:o0:>o5a23g9g>=h9=>1<7*=2g826g=i:;o1h65`15094?"5:o0:>o5a23g9a>=h9:k1<7*=2g826g=i:;o1j65`13d94?"5:o0:>o5a23g955=<g8896=4+23d957d<f;8n6<?4;r;6<?6=:rT:<>5Q13a8yv?213:1>vP>009]57g<uz3>m7>52z\eb>X6:11v4;m:181[`b3W;9;6s|94a94?4|Von0R<<9;|q:1a<72;qUjn5Q1378yv?2m3:1>vPib:\261=z{0?m6=4={_db?[75;2wx5;>50;0xZ4473W;?56s|97394?4|V8;m7S?;8:p=34=838pR<?j;_373>{t1?91<7<t^03g?[73>2wx5;:50;0xZ47d3W;?96s|97794?4|V8;i7S?;4:p=30=838pR<?6;_376>{t1?=1<7<t^032?[74i2wx5;650;0xZ4613W;9j6s|97;94?4|Vo30R<<=;|a6a7=838<6=4>2zJ1=5=#:1l19;<4Z9596~d=l3wb===50;&16c<68;1e>?k50:9j557=83.9>k4>039m67c=921bjk4?:%01b?77:2d9>h4=;:kea?6=,;8m6<>=;o01a?5<3`lo6=4+23d9554<f;8n6954iga94?"5:o0:<?5a23g91>=nnk0;6)<=f;336>h5:l0=76gia;29 74a28:97c<=e;58?l7583:1(?<i:021?k45m3207d?>f;29 74a28:97c<=e;;8?l76m3:1(?<i:021?k45m3k07d?>d;29 74a28:97c<=e;`8?l76k3:1(?<i:021?k45m3i07d?>b;29 74a28:97c<=e;f8?l7613:1(?<i:021?k45m3o07d?>1;29 74a28:97c<=e;d8?l77>3:1(?<i:021?k45m3;;76gi9;29 74a28:97c<=e;32?>odl3:17dm=:188m7>12900c<<l:18'67`=9;h0b?<j:198k44f290/>?h513`8j74b2810c<<7:18'67`=9;h0b?<j:398k440290/>?h513`8j74b2:10c<<9:18'67`=9;h0b?<j:598k442290/>?h513`8j74b2<10c<<;:18'67`=9;h0b?<j:798k444290/>?h513`8j74b2>10c<:6:18'67`=9;h0b?<j:998k42?290/>?h513`8j74b2010c<:8:18'67`=9;h0b?<j:`98k421290/>?h513`8j74b2k10c<:::18'67`=9;h0b?<j:b98k423290/>?h513`8j74b2m10c<:=:18'67`=9;h0b?<j:d98k45f290/>?h513`8j74b2o10c<<i:18'67`=9;h0b?<j:028?j75:3:1(?<i:00a?k45m3;:76}66`83>7}Y9990R<<l;|q:2g<72;qU==?4^00b?xu>>j0;6?uQfg9]57><uz3=h7>52z\ea>X6:>1v48j:181[`c3W;9:6s|97d94?4|Voi0R<<:;|q:35<72;qUjo5Q1368yv?093:1>vPia:\266=z{0=96=4={_314>X6<01v49<:181[76n2T:855rs857>5<5sW;:i6P>469~w<122909wS?>d:\203=z{0==6=4={_32g>X6<<1v498:181[76j2T:895rs85;>5<5sW;:56P>439~w<1>2909wS?>1:\27d=z{0=j6=4={_332>X6:o1v49m:181[`>3W;9>6srb3f1>5<5?38?6>7tH3;3?!4?n3?=?6T77;0xf?b=u`;;?7>5$30e>4653g89i7>4;h335?6=,;8m6<>=;o01a?7<3`lm6=4+23d9554<f;8n6?54igg94?"5:o0:<?5a23g97>=nnm0;6)<=f;336>h5:l0?76gic;29 74a28:97c<=e;78?l`e290/>?h51108j74b2?10eko50;&16c<68;1e>?k57:9j576=83.9>k4>039m67c=021b=<h50;&16c<68;1e>?k59:9j54c=83.9>k4>039m67c=i21b=<j50;&16c<68;1e>?k5b:9j54e=83.9>k4>039m67c=k21b=<l50;&16c<68;1e>?k5d:9j54?=83.9>k4>039m67c=m21b=<?50;&16c<68;1e>?k5f:9j550=83.9>k4>039m67c=9910ek750;&16c<68;1e>?k51098mfb=831bo?4?::k1<3<722e:>n4?:%01b?75j2d9>h4?;:m26d<72-89j7?=b:l16`<632e:>54?:%01b?75j2d9>h4=;:m262<72-89j7?=b:l16`<432e:>;4?:%01b?75j2d9>h4;;:m260<72-89j7?=b:l16`<232e:>94?:%01b?75j2d9>h49;:m266<72-89j7?=b:l16`<032e:844?:%01b?75j2d9>h47;:m20=<72-89j7?=b:l16`<>32e:8:4?:%01b?75j2d9>h4n;:m203<72-89j7?=b:l16`<e32e:884?:%01b?75j2d9>h4l;:m201<72-89j7?=b:l16`<c32e:8?4?:%01b?75j2d9>h4j;:m27d<72-89j7?=b:l16`<a32e:>k4?:%01b?75j2d9>h4>0:9l574=83.9>k4>2c9m67c=9810n?j<:186>5<7s-83j7?;d:J1=0=O:0:0(<;9:39j<<<722ch>7>5;hg0>5<<a;9?6=44o311>5<<uk8o87>55;294~"50o0:8i5G2878L7?73-;>:7<4i9;94?=nk;0;66gj3;29?l44<3:17b<<2;29?xd5l<0;684?:1y'6=`=9=n0D?7:;I0:4>"6=?097d66:188mf4=831bi>4?::k171<722e9??4?::a6a0=83?1<7>t$3:e>42c3A8296F=919'500=:2c357>5;ha1>5<<al91<75f22694?=h::81<75rb3f4>5<2290;w)<7f;37`>N51<1C>4>4$075>7=n000;66gl2;29?lc42900e?=;:188k7552900qo<k8;291?6=8r.94k4>4e9K6<3<@;3;7)?:6;08m=?=831bo?4?::kf7?6=3`8887>5;n006?6=3th9h44?:483>5}#:1l1=9j4H3;6?M4>82.:9;4=;h::>5<<aj81<75fe283>>o5;=0;66a=3383>>{e:mk1<7;50;2x 7>a28>o7E<65:J1=5=#9<<1>6g79;29?le52900eh=50;9j662=831d>><50;9~f7be290>6=4?{%0;b?73l2B9585G2828 4312;1b444?::k`6?6=3`o86=44i317>5<<g;996=44}c0gg?6==3:1<v*=8g820a=O:0?0D?7?;%362?4<a131<75fc383>>ob;3:17d<<4;29?j44:3:17pl=de83>0<729q/>5h515f8L7?23A82<6*>5781?l>>2900en<50;9ja6<722c9?94?::m177<722wi>ik50;794?6|,;2m6<:k;I0:1>N5191/=8852:k;=?6=3`i96=44id194?=n::>1<75`22094?=zj;nm6=4::183!4?n3;?h6F=949K6<6<,8?=6?5f8883>>od:3:17dk<:188m7532900c?==:188yg4b83:197>50z&1<c<6<m1C>4;4H3;3?!72>380e5750;9jg7<722cn?7>5;h000?6=3f88>7>5;|`1a4<72<0;6=u+29d951b<@;3>7E<60:&213<53`226=44ib094?=nm:0;66g=3583>>i5;;0;66sm2d094?3=83:p(?6i:06g?M4>=2B95=5+14496>o?13:17dm=:188m`5=831b>>:50;9l664=831vn?k<:186>5<7s-83j7?;d:J1=0=O:0:0(<;9:39j<<<722ch>7>5;hg0>5<<a;9?6=44o311>5<<uk8n87>55;294~"50o0:8i5G2878L7?73-;>:7<4i9;94?=nk;0;66gj3;29?l44<3:17b<<2;29?xd5m<0;684?:1y'6=`=9=n0D?7:;I0:4>"6=?097d66:188mf4=831bi>4?::k171<722e9??4?::a6`0=83?1<7>t$3:e>42c3A8296F=919'500=:2c357>5;ha1>5<<al91<75f22694?=h::81<75rb3g4>5<2290;w)<7f;37`>N51<1C>4>4$075>7=n000;66gl2;29?lc42900e?=;:188k7552900qo<j8;291?6=8r.94k4>4e9K6<3<@;3;7)?:6;08m=?=831bo?4?::kf7?6=3`8887>5;n006?6=3th9i44?:483>5}#:1l1=9j4H3;6?M4>82.:9;4=;h::>5<<aj81<75fe283>>o5;=0;66a=3383>>{e:lk1<7;50;2x 7>a28>o7E<65:J1=5=#9<<1>6g79;29?le52900eh=50;9j662=831d>><50;9~f7ce290>6=4?{%0;b?73l2B9585G2828 4312;1b444?::k`6?6=3`o86=44i317>5<<g;996=44}c0fg?6==3:1<v*=8g820a=O:0?0D?7?;%362?4<a131<75fc383>>ob;3:17d<<4;29?j44:3:17pl=ee83>0<729q/>5h515f8L7?23A82<6*>5781?l>>2900en<50;9ja6<722c9?94?::m177<722wi>hk50;794?6|,;2m6<:k;I0:1>N5191/=8852:k;=?6=3`i96=44id194?=n::>1<75`22094?=zj;om6=4::183!4?n3;?h6F=949K6<6<,8?=6?5f8883>>od:3:17dk<:188m7532900c?==:188yg4a83:197>50z&1<c<6<m1C>4;4H3;3?!72>380e5750;9jg7<722cn?7>5;h000?6=3f88>7>5;|`1b4<72<0;6=u+29d951b<@;3>7E<60:&213<53`226=44ib094?=nm:0;66g=3583>>i5;;0;66sm2g094?3=83:p(?6i:06g?M4>=2B95=5+14496>o?13:17dm=:188m`5=831b>>:50;9l664=831vn?h<:186>5<7s-83j7?;d:J1=0=O:0:0(<;9:39j<<<722ch>7>5;hg0>5<<a;9?6=44o311>5<<uk8m87>55;294~"50o0:8i5G2878L7?73-;>:7<4i9;94?=nk;0;66gj3;29?l44<3:17b<<2;29?xd5n<0;684?:1y'6=`=9=n0D?7:;I0:4>"6=?097d66:188mf4=831bi>4?::k171<722e9??4?::a6c0=83?1<7>t$3:e>42c3A8296F=919'500=:2c357>5;ha1>5<<al91<75f22694?=h::81<75rs85`>5<5sW;;?63=e58f7>{t1>n1<7<t^022?84b;3o87p}67d83>7}Yno16>h<5e29~w<1a2909wShj;<0f5?c43ty24=4?:3y]ba=::l:1i>5rs8:2>5<5sWlh70<kf;g0?xu>0;0;6?uQfc9>6ac=m:1v46<:181[`f348oh7k<;|q:<1<72;qU=?>4=3f`>`5<uz3397>52z\25c=::mh1i>5rs8:5>5<5sW;:i63=d`8f7>{t11=1<7<t^03g?84c13o87p}68983>7}Y98i01?j7:d18yv??13:1>vP>1c9>6a1=m:1v46n:181[761279h;4j3:p==d=838pR<?>;<0g1?c43ty24n4?:3y]550<5;n?6h=4}r;;`?6=:rTm563=d28f7>{t11o1<7<:{_ag?84c;32270<k4;::?84c=32270<k6;::?84c?32270<k8;::?84c132270<ka;::?84cj32270<kc;::?84cl32270<ke;::?84cn32270<j0;::?84b932270<j2;::?84b;32270<j4;::?84b=32270<j6;::?84b?32270<j8;::?84b132270<ja;::?84bj32270<jc;::?84bl32270<je;::?84bn32270<i0;::?84a932270<i2;::?84a;32270<i4;::?84a=32270<i6;::?xu>0o0;6?;t^b0897b42j801?j;:b0897b22j801?j9:b0897b02j801?j7:b0897b>2j801?jn:b0897be2j801?jl:b0897bc2j801?jj:b0897ba2j801?k?:b0897c62j801?k=:b0897c42j801?k;:b0897c22j801?k9:b0897c02j801?k7:b0897c>2j801?kn:b0897ce2j801?kl:b0897cc2j801?kj:b0897ca2j801?h?:b0897`62j801?h=:b0897`42j801?h;:b0897`22j801?h9:b08yv?>83:1>8uQ294897b42;9?70<k4;000>;5l<09?9522e49662<5;n<6?=;;<0g<?44<279h44=359>6ag=::>01?jm:317?84ck388863=de8171=::mo1>>:4=3fe>753348n<7<<4:?1a4<5;=16>h<5226897c42;9?70<j4;000>;5m<09?9522d49662<5;o<6?=;;<0f<?44<279i44=359>6`g=::>01?km:317?84bk388863=ee8171=::lo1>>:4=3ge>753348m<7<<4:?1b4<5;=16>k<5226897`42;9?70<i4;000>;5n<09?9522g49662<uz32=7>52z?1a1<5;;16>k85e29~w<?52909w0<j3;006>;5n<0n?6s|98194?4|5;o96?==;<0e0?c43ty2594?:3y>6`7=::801?h<:d18yv?>=3:1>v3=e18177=::o81i>5rs8;5>5<5s48oj7<<2:?1b4<b;2wx54950;0x97bb2;9970<i0;g0?xu>110;6?u22ef9664<5;om6h=4}r;:=?6=:r79hn4=339>6`c=m:1v47n:18184cj388>63=ee8f7>{t10h1<7<t=3fb>755348no7k<;|q:=f<72;q6>i75220897ce2l90q~76d;296~;5l109??522dc9a6=z{03n6=4={<0g3?44:279i44j3:p=<`=838p1?j9:311?84b03o87p}6a183>7}::m?1>><4=3g4>`5<uz3j=7>52z?1`1<5;;16>h85e29~w<g52909w0<k3;006>;5m<0n?6s|9`194?4|V88h70<i6;006>{t1h>1<7<t^00b?84a=388>6s|9`794?4|V88370<i4;006>{t1h<1<7<t^004?84a;388>6s|9`594?4|V88=70<i2;006>{t1h21<7<t^006?84a9388>6s|9`;94?4|V88?70<i0;006>{t1hk1<7<t^000?84bn388>6s|9``94?4|V8>270<je;006>{t1hi1<7<t^06;?84bl388>6s|9`f94?4|V8><70<jc;006>{t1ho1<7<t^065?84bj388>6s|9`d94?4|V8>>70<ja;006>{t1k:1<7<t^067?84b1388>6s|9c394?4|V8>970<j8;006>{t1k81<7<t^01b?84b?388>6s|9c194?4|V88m70<j6;006>{t1k>1<7<t^001?84b=388>6srb3d4>5<5?3886>9tH3;3?!4?n3?=?6T77;0xf?b=u`;;?7>5$30e>4653g89i7>4;h335?6=,;8m6<>=;o01a?7<3`lm6=4+23d9554<f;8n6?54igg94?"5:o0:<?5a23g97>=nnm0;6)<=f;336>h5:l0?76gic;29 74a28:97c<=e;78?l`e290/>?h51108j74b2?10eko50;&16c<68;1e>?k57:9j576=83.9>k4>039m67c=021b=<h50;&16c<68;1e>?k59:9j54c=83.9>k4>039m67c=i21b=<j50;&16c<68;1e>?k5b:9j54e=83.9>k4>039m67c=k21b=<l50;&16c<68;1e>?k5d:9j54?=83.9>k4>039m67c=m21b=<?50;&16c<68;1e>?k5f:9j550=83.9>k4>039m67c=9910ek750;&16c<68;1e>?k51098mfb=831bo?4?::k1<3<722e:>n4?:%01b?75j2d9>h4?;:m26d<72-89j7?=b:l16`<632e:>54?:%01b?75j2d9>h4=;:m262<72-89j7?=b:l16`<432e:>;4?:%01b?75j2d9>h4;;:m260<72-89j7?=b:l16`<232e:>94?:%01b?75j2d9>h49;:m266<72-89j7?=b:l16`<032e:844?:%01b?75j2d9>h47;:m20=<72-89j7?=b:l16`<>32e:8:4?:%01b?75j2d9>h4n;:m203<72-89j7?=b:l16`<e32e:884?:%01b?75j2d9>h4l;:m201<72-89j7?=b:l16`<c32e:8?4?:%01b?75j2d9>h4j;:m27d<72-89j7?=b:l16`<a32e:>k4?:%01b?75j2d9>h4>0:9l574=83.9>k4>2c9m67c=9810n?h7:182>5<7s-83j7?:0:J1=0=O:0:0c<:i:188yg4a13:197>50z&1<c<6<m1C>4;4H3;3?!72>380e5750;9jg7<722cn?7>5;h000?6=3f88>7>5;|`1bd<72<0;6=u+29d951b<@;3>7E<60:&213<53`226=44ib094?=nm:0;66g=3583>>i5;;0;66sm2g`94?3=83:p(?6i:06g?M4>=2B95=5+14496>o?13:17dm=:188m`5=831b>>:50;9l664=831vn?hl:186>5<7s-83j7?;d:J1=0=O:0:0(<;9:39j<<<722ch>7>5;hg0>5<<a;9?6=44o311>5<<uk8mh7>55;294~"50o0:8i5G2878L7?73-;>:7<4i9;94?=nk;0;66gj3;29?l44<3:17b<<2;29?xd5nl0;684?:1y'6=`=9=n0D?7:;I0:4>"6=?097d66:188mf4=831bi>4?::k171<722e9??4?::a6c`=83?1<7>t$3:e>42c3A8296F=919'500=:2c357>5;ha1>5<<al91<75f22694?=h::81<75rb223>5<2290;w)<7f;37`>N51<1C>4>4$075>7=n000;66gl2;29?lc42900e?=;:188k7552900qo=?1;291?6=8r.94k4>4e9K6<3<@;3;7)?:6;08m=?=831bo?4?::kf7?6=3`8887>5;n006?6=3th8<?4?:483>5}#:1l1=9j4H3;6?M4>82.:9;4=;h::>5<<aj81<75fe283>>o5;=0;66a=3383>>{e;991<7;50;2x 7>a28>o7E<65:J1=5=#9<<1>6g79;29?le52900eh=50;9j662=831d>><50;9~f663290>6=4?{%0;b?73l2B9585G2828 4312;1b444?::k`6?6=3`o86=44i317>5<<g;996=44}c131?6==3:1<v*=8g820a=O:0?0D?7?;%362?4<a131<75fc383>>ob;3:17d<<4;29?j44:3:17pl<0783>0<729q/>5h515f8L7?23A82<6*>5781?l>>2900en<50;9ja6<722c9?94?::m177<722wi?=950;794?6|,;2m6<:k;I0:1>N5191/=8852:k;=?6=3`i96=44id194?=n::>1<75`22094?=zj::36=4::183!4?n3;?h6F=949K6<6<,8?=6?5f8883>>od:3:17dk<:188m7532900c?==:188yg5713:197>50z&1<c<6<m1C>4;4H3;3?!72>380e5750;9jg7<722cn?7>5;h000?6=3f88>7>5;|`04d<72<0;6=u+29d951b<@;3>7E<60:&213<53`226=44ib094?=nm:0;66g=3583>>i5;;0;66sm31`94?3=83:p(?6i:06g?M4>=2B95=5+14496>o?13:17dm=:188m`5=831b>>:50;9l664=831vn>>l:186>5<7s-83j7?;d:J1=0=O:0:0(<;9:39j<<<722ch>7>5;hg0>5<<a;9?6=44o311>5<<uk9;h7>55;294~"50o0:8i5G2878L7?73-;>:7<4i9;94?=nk;0;66gj3;29?l44<3:17b<<2;29?xd48l0;684?:1y'6=`=9=n0D?7:;I0:4>"6=?097d66:188mf4=831bi>4?::k171<722e9??4?::a75`=83?1<7>t$3:e>42c3A8296F=919'500=:2c357>5;ha1>5<<al91<75f22694?=h::81<75rb233>5<2290;w)<7f;37`>N51<1C>4>4$075>7=n000;66gl2;29?lc42900e?=;:188k7552900qo=>1;291?6=8r.94k4>4e9K6<3<@;3;7)?:6;08m=?=831bo?4?::kf7?6=3`8887>5;n006?6=3th8=?4?:483>5}#:1l1=9j4H3;6?M4>82.:9;4=;h::>5<<aj81<75fe283>>o5;=0;66a=3383>>{e;891<7;50;2x 7>a28>o7E<65:J1=5=#9<<1>6g79;29?le52900eh=50;9j662=831d>><50;9~f673290>6=4?{%0;b?73l2B9585G2828 4312;1b444?::k`6?6=3`o86=44i317>5<<g;996=44}c121?6==3:1<v*=8g820a=O:0?0D?7?;%362?4<a131<75fc383>>ob;3:17d<<4;29?j44:3:17pl<1783>0<729q/>5h515f8L7?23A82<6*>5781?l>>2900en<50;9ja6<722c9?94?::m177<722wi?<950;794?6|,;2m6<:k;I0:1>N5191/=8852:k;=?6=3`i96=44id194?=n::>1<75`22094?=zj:;36=4::183!4?n3;?h6F=949K6<6<,8?=6?5f8883>>od:3:17dk<:188m7532900c?==:188yg5613:197>50z&1<c<6<m1C>4;4H3;3?!72>380e5750;9jg7<722cn?7>5;h000?6=3f88>7>5;|`05d<72<0;6=u+29d951b<@;3>7E<60:&213<53`226=44ib094?=nm:0;66g=3583>>i5;;0;66s|9c794?4|V8::70=?9;g0?xu>j?0;6?uQfg9>75>=m:1v4l8:181[`b349;;7k<;|q:f=<72;qUji523149a6=z{0h26=4={_d`?857=3o87p}6b`83>7}Ynk16?=:5e29~w<de2909wShn;<137?c43ty2nn4?:3y]576<5::96h=4}r;a`?6=:rT:=k523139a6=z{0hn6=4={_32a>;4890n?6s|9cd94?4|V8;o70<if;g0?xu>k90;6?uQ10a897`b2l90q~7l1;296~X69k16>kj5e29~w<e52909wS?>9:?1bf<b;2wx5n=50;0xZ476348mn7k<;|q:g1<72;qU==84=3db>`5<uz3h97>52z\e=>;5n00n?6s|9b494?44sWio70<i9;::?84ai32270<ib;::?84ak32270<id;::?84am32270<if;::?857832270=?1;::?857:32270=?3;::?857<32270=?5;::?857>32270=?7;::?857032270=?9;::?857i32270=?b;::?857k32270=?d;::?857m32270=?f;::?856832270=>1;::?856:32270=>3;::?856<32270=>5;::?856>32270=>7;::?856032270=>9;::?856i3227p}6c683>75|Vj801?h6:b0897`f2j801?hm:b0897`d2j801?hk:b0897`b2j801?hi:b0896672j801>>>:b0896652j801>><:b0896632j801>>::b0896612j801>>8:b08966?2j801>>6:b08966f2j801>>m:b08966d2j801>>k:b08966b2j801>>i:b0896772j801>?>:b0896752j801>?<:b0896732j801>?::b0896712j801>?8:b08967?2j801>?6:b08967f2j80q~7l8;2966}Y:1<01?h6:317?84ai388863=fc8171=::oi1>>:4=3dg>753348mi7<<4:?1bc<5;=16?=>5226896662;9?70=?2;000>;48:09?9523169662<5::>6?=;;<132?44<278<:4=359>75>=::>01>>6:317?857i388863<0c8171=:;9i1>>:4=22g>753349;i7<<4:?04c<5;=16?<>5226896762;9?70=>2;000>;49:09?9523069662<5:;>6?=;;<122?44<278=:4=359>74>=::>01>?6:317?856i38886s|9b;94?4|5::26?==;<12e?c43ty2ol4?:3y>75>=::801>?6:d18yv?dj3:1>v3<068177=:;821i>5rs8a`>5<5s49;:7<<2:?052<b;2wx5nj50;0x96622;9970=>6;g0?xu>kl0;6?u23169664<5:;>6h=4}r;`b?6=:r78<>4=339>742=m:1v4j?:181857:388>63<128f7>{t1m;1<7<t=222>755349:>7k<;|q:`7<72;q6?=>5220896762l90q~7k3;296~;5no09??523029a6=z{0n?6=4={<0ea?44:278<k4j3:p=a3=838p1?hk:311?857m3o87p}6d783>7}::oi1>><4=22g>`5<uz3o;7>52z?1bg<5;;16?=m5e29~w<b?2909w0<ia;006>;48k0n?6s|9e;94?4|5;l26?==;<13e?c43ty2hl4?:3y]57e<5;l36<:i;|q:`g<72;qU=?o4=23b>7553ty2hn4?:3y]57><5:;26?==;|q:`a<72;qU=?94=23;>7553ty2hh4?:3y]570<5:;<6?==;|q:`c<72;qU=?;4=235>7553ty2i=4?:3y]572<5:;>6?==;|q:a4<72;qU=?=4=237>7553ty2i?4?:3y]51?<5:;86?==;|q:a6<72;qU=964=231>7553ty2i94?:3y]511<5:;:6?==;|q:a0<72;qU=984=233>7553ty2i;4?:3y]513<5::m6?==;|q:a2<72;qU=9:4=22f>7553ty2i54?:3y]514<5::o6?==;|q:a<<72;qU=>o4=22`>7553ty2il4?:3y]57`<5::i6?==;|q:ag<72;qU=?<4=22b>7553twi?<l50;f91?e|@;3;7)<7f;750>\??38p=i4>e;j54d=83.9>k4>1`9m67c=821b=<750;&16c<69h1e>?k51:9j547=83.9>k4>1`9m67c=:21b==850;&16c<69h1e>?k53:9jb<<72-89j7?>a:l16`<332chh7>5;ha1>5<<a;2=6=44o067>5<#:;l1=9=4n30f>5=<g8>96=4+23d9515<f;8n6<54o01b>5<#:;l1=9=4n30f>7=<g88m6=4+23d9515<f;8n6>54o001>5<#:;l1=9=4n30f>1=<j:;h6=4>:183!4?n3;><6F=949K6<6<g8>m6=44}c12`?6==3:1<v*=8g820a=O:0?0D?7?;%362?4<a131<75fc383>>ob;3:17d<<4;29?j44:3:17pl<1d83>0<729q/>5h515f8L7?23A82<6*>5781?l>>2900en<50;9ja6<722c9?94?::m177<722wi?<h50;794?6|,;2m6<:k;I0:1>N5191/=8852:k;=?6=3`i96=44id194?=n::>1<75`22094?=zj:8;6=4::183!4?n3;?h6F=949K6<6<,8?=6?5f8883>>od:3:17dk<:188m7532900c?==:188yv?bk3:1>vP>189>776=m:1v4kk:181[769278=k4j3:p=`c=838pR<>9;<12a?c43ty2ik4?:3y]b<=:;8n1i>5rs8d3>5<2sWio70=>d;::?856m32270=>f;::?85583227p}6f083>0}Yk;16?<j5c39>74c=k;16?<h5c39>776=k;1v4h=:186[4?>278=i4=359>74c=::>01>?i:317?855838886s|9g194?4|V8>?70=>c;37b>{t1o>1<7<t^061?8558388>6s|9g794?4|V89j70=>f;006>{t1o<1<7<t^00e?856m388>6s|9g594?4|V88970=>d;006>{zj:8:6=4k:0795f}O:0:0(?6i:446?_>02;q:h7?j:|k25g<72-89j7?>a:l16`<732c:=44?:%01b?76i2d9>h4>;:k254<72-89j7?>a:l16`<532c:<;4?:%01b?76i2d9>h4<;:ke=?6=,;8m6<?n;o01a?2<3`io6=44ib094?=n:1<1<75`15694?"5:o0:8>5a23g94>=h9=81<7*=2g8206=i:;o1=65`12c94?"5:o0:8>5a23g96>=h9;l1<7*=2g8206=i:;o1?65`13094?"5:o0:8>5a23g90>=e;;81<7?50;2x 7>a28?;7E<65:J1=5=h9=l1<75rb200>5<6290;w)<7f;0:5>N51<1C>4>4o0;;>5<<uk9987>59;294~"50o094o5G2878L7?73-;>:7=4i3:94?=n:h0;66g=c;29?l4c2900en<50;9jga<722cn?7>5;n006?6=3f88?7>5;|`060<72=0;6=u+29d951e<@;3>7E<60:&213<53`226=44ib094?=nm:0;66a=3383>>{e;;<1<7750;2x 7>a2;2i7E<65:J1=5=#9<<1?6g=8;29?l4f2900e?m50;9j6a<722ch>7>5;hag>5<<al91<75`22094?=h::91<75rb204>5<3290;w)<7f;37g>N51<1C>4>4$075>7=n000;66gl2;29?lc42900c?==:188yg5503:157>50z&1<c<50k1C>4;4H3;3?!72>390e?650;9j6d<722c9o7>5;h0g>5<<aj81<75fce83>>ob;3:17b<<2;29?j44;3:17pl<2883>1<729q/>5h515a8L7?23A82<6*>5781?l>>2900en<50;9ja6<722e9??4?::a77g=8331<7>t$3:e>7>e3A8296F=919'500=;2c947>5;h0b>5<<a;i1<75f2e83>>od:3:17dmk:188m`5=831d>><50;9l665=831vn><m:187>5<7s-83j7?;c:J1=0=O:0:0(<;9:39j<<<722ch>7>5;hg0>5<<g;996=44}c11g?6==3:1<v*=8g820a=O:0?0D?7?;h::>5<<aj81<75fe283>>o5;=0;66a=3383>>{e;;n1<7;50;2x 7>a28>o7E<65:J1=5=n000;66gl2;29?lc42900e?=;:188k7552900qo==e;291?6=8r.94k4>4e9K6<3<@;3;7d66:188mf4=831bi>4?::k171<722e9??4?::a77`=8391<7>t$3:e>43d3A8296F=919'500=:?1b=8<50;9j505=831d=5o50;9~f657290>6=4?{%0;b?73l2B9585G2828 4312;1b444?::k`6?6=3`o86=44i317>5<<g;996=44}c105?6=;3:1<v*=8g821f=O:0?0D?7?;%362?413`;>>7>5;h367?6=3f;3m7>5;|`077<72<0;6=u+29d951b<@;3>7E<60:&213<53`226=44ib094?=nm:0;66g=3583>>i5;;0;66sm32194?5=83:p(?6i:07`?M4>=2B95=5+144963=n9<81<75f14194?=h91k1<75rb217>5<2290;w)<7f;37`>N51<1C>4>4$075>7=n000;66gl2;29?lc42900e?=;:188k7552900qo=<5;297?6=8r.94k4>5b9K6<3<@;3;7)?:6;05?l72:3:17d?:3;29?j7?i3:17pl<3783>0<729q/>5h515f8L7?23A82<6*>5781?l>>2900en<50;9ja6<722c9?94?::m177<722wx5k650;0xZ47>3499m7k<;|q:b<<72;qU=<?4=20;>`5<uz3mm7>52z\243=:;;<1i>5rs8da>5<5sWl270==4;g0?xu>nj0;6<>t^bf896432jn01><::9;896412jn01><8:9;8964?2jn01><6:9;8964f2jn01><m:9;8964d21301><k:9;8964b21301>=?:9;8965521301>=;:9;896512130q~7id;2955}Yk;16??:5c39>773=k;16??85c39>771=k;16??65c39>77?=k;16??o5c39>77d=k;16??m5c39>77b=k;16??k5c39>766=k;16?><5c39>762=k;16?>85c39~w<`b2903wS<76:?06f<5;=16??j52268964b2;9?70=<0;000>;4;;09?9523269662<5:9=6?=;;|q:bc<72lqU=9:4=201>42a349987<n;<110?4d349987<k;<112?4f3499:7<l;<112?4c349947<n;<11<?4d349947<k;<11e?4f3499m7<l;<11e?4c3tyj<=4?:3y]514<5:9?6?==;|qb44<72;qU=>o4=211>7553tyj<?4?:3y]57`<5:9;6?==;|qb46<72;qU=?<4=215>7553tyj<94?:7y>775=90201><;:3:896412;201><7:3:8964f2;201><l:d18yvg7=3:1>v3<258177=:;;?1i>5rs`25>5<5s49997<<2:?070<6=;1vl>8:181855>388>63<268f7>{ti921<7<t=204>7553499j7?:2:pe5?=838p1><7:311?85513o87p}n0`83>7}:;;31>><4=212>4353tyj<o4?:3y>77g=::801><m:d18yvg7k3:1>v3<2c8177=:;:91=8<4}rc3`?6=:r78>n4=339>77b=m:1vl>j:181855l388>63<2d8f7>{ti9l1<7;t=20f>7553499j7?:3:?074<6=:16?>=51418965228?87p}n1183>7}:;;l1=5o4=213>`5<uzk:=7>52z?074<60h16?><5e29~wd752909w0=<3;3;e>;4;=0n?6s|a0194?4|5:9>6<6n;<102?c43twi?>950;795?7|@;3;7)<7f;752>\??38pi7h5}hd:>5<#:;l1j55a23g94>=nkm0;66gl2;29?l4?>3:17b?=2;29 74a288:7c<=e;28?g5403:1=7>50z&1<c<6=91C>4;4H3;3?j73n3:17p}n1583>7}Y9;801>=7:06e?x{e;:31<7;51;3xL7?73-83j7;96:X;3?4|m3l1qdh6:18'67`=n11e>?k50:9jga<722ch>7>5;h0;2?6=3f;9>7>5$30e>4463g89i7>4;c10e?6=93:1<v*=8g8215=O:0?0D?7?;n37b?6=3tyj=84?:3y]574<5:9j6<:i;|a76d=838>69o55dyK6<6<,;2m6888;[:4>7}22?0ve<>>:18'67`=99:0b?<j:198mc`=83.9>k4>019m67c=921bjh4?:%01b?7782d9>h4=;:ke`?6=,;8m6<>?;o01a?5<3`lh6=4+23d9556<f;8n6954ig`94?"5:o0:<=5a23g91>=nnh0;6)<=f;334>h5:l0=76g>2183>!45n3;;<6`=2d84?>o69o0;6)<=f;334>h5:l0376g>1d83>!45n3;;<6`=2d8:?>o69m0;6)<=f;334>h5:l0j76g>1b83>!45n3;;<6`=2d8a?>o69k0;6)<=f;334>h5:l0h76g>1883>!45n3;;<6`=2d8g?>o6980;6)<=f;334>h5:l0n76g>0783>!45n3;;<6`=2d8e?>oa13:1(?<i:023?k45m3;;76gld;29?le52900e?69:188k44f290/>?h513;8j74b2910c<<7:18'67`=9;30b?<j:098k440290/>?h513;8j74b2;10c<<9:18'67`=9;30b?<j:298k442290/>?h513;8j74b2=10c<<;:18'67`=9;30b?<j:498k444290/>?h513;8j74b2?10c<:6:18'67`=9;30b?<j:698k42?290/>?h513;8j74b2110c<:8:18'67`=9;30b?<j:898k421290/>?h513;8j74b2h10c<:::18'67`=9;30b?<j:c98k423290/>?h513;8j74b2j10c<:=:18'67`=9;30b?<j:e98k45f290/>?h513;8j74b2l10c<<i:18'67`=9;30b?<j:g98k445290/>?h513;8j74b28:07o=<c;295?6=8r.94k4>519K6<3<@;3;7b?;f;29?xd4;m0;6<4?:1y'6=`=:0;0D?7:;I0:4>i6110;66sm32g94??=83:p(?6i:3:a?M4>=2B95=5+14497>o503:17d<n:188m7e=831b>i4?::k`6?6=3`io6=44id194?=h::81<75`22194?=zj:9m6=4;:183!4?n3;?o6F=949K6<6<,8?=6?5f8883>>od:3:17dk<:188k7552900qo=;0;29=?6=8r.94k4=8c9K6<3<@;3;7)?:6;18m7>=831b>l4?::k1g?6=3`8o6=44ib094?=nkm0;66gj3;29?j44:3:17b<<3;29?xd4<80;694?:1y'6=`=9=i0D?7:;I0:4>"6=?097d66:188mf4=831bi>4?::m177<722wi?9<50;;94?6|,;2m6?6m;I0:1>N5191/=8853:k1<?6=3`8j6=44i3a94?=n:m0;66gl2;29?lec2900eh=50;9l664=831d>>=50;9~f624290?6=4?{%0;b?73k2B9585G2828 4312;1b444?::k`6?6=3`o86=44o311>5<<uk9?87>59;294~"50o094o5G2878L7?73-;>:7=4i3:94?=n:h0;66g=c;29?l4c2900en<50;9jga<722cn?7>5;n006?6=3f88?7>5;|`000<72=0;6=u+29d951e<@;3>7E<60:&213<53`226=44ib094?=nm:0;66a=3383>>{e;=<1<7750;2x 7>a2;2i7E<65:J1=5=#9<<1?6g=8;29?l4f2900e?m50;9j6a<722ch>7>5;hag>5<<al91<75`22094?=h::91<75rb264>5<3290;w)<7f;37g>N51<1C>4>4$075>7=n000;66gl2;29?lc42900c?==:188yg5303:157>50z&1<c<50k1C>4;4H3;3?!72>390e?650;9j6d<722c9o7>5;h0g>5<<aj81<75fce83>>ob;3:17b<<2;29?j44;3:17pl<4883>1<729q/>5h515a8L7?23A82<6*>5781?l>>2900en<50;9ja6<722e9??4?::a71g=8331<7>t$3:e>7>e3A8296F=919'500=;2c947>5;h0b>5<<a;i1<75f2e83>>od:3:17dmk:188m`5=831d>><50;9l665=831vn>:m:187>5<7s-83j7?;c:J1=0=O:0:0(<;9:39j<<<722ch>7>5;hg0>5<<g;996=44}c17g?6=13:1<v*=8g81<g=O:0?0D?7?;%362?5<a;21<75f2`83>>o5k3:17d<k:188mf4=831boi4?::kf7?6=3f88>7>5;n007?6=3th88i4?:583>5}#:1l1=9m4H3;6?M4>82.:9;4=;h::>5<<aj81<75fe283>>i5;;0;66sm35g94??=83:p(?6i:3:a?M4>=2B95=5+14497>o503:17d<n:188m7e=831b>i4?::k`6?6=3`io6=44id194?=h::81<75`22194?=zj:>m6=4;:183!4?n3;?o6F=949K6<6<,8?=6?5f8883>>od:3:17dk<:188k7552900qo=:0;29=?6=8r.94k4=8c9K6<3<@;3;7)?:6;18m7>=831b>l4?::k1g?6=3`8o6=44ib094?=nkm0;66gj3;29?j44:3:17b<<3;29?xd4=80;694?:1y'6=`=9=i0D?7:;I0:4>"6=?097d66:188mf4=831bi>4?::m177<722wi?8<50;;94?6|,;2m6?6m;I0:1>N5191/=8853:k1<?6=3`8j6=44i3a94?=n:m0;66gl2;29?lec2900eh=50;9l664=831d>>=50;9~f634290?6=4?{%0;b?73k2B9585G2828 4312;1b444?::k`6?6=3`o86=44o311>5<<uk9>87>59;294~"50o094o5G2878L7?73-;>:7=4i3:94?=n:h0;66g=c;29?l4c2900en<50;9jga<722cn?7>5;n006?6=3f88?7>5;|`010<72=0;6=u+29d951e<@;3>7E<60:&213<53`226=44ib094?=nm:0;66a=3383>>{e;<<1<7750;2x 7>a2;2i7E<65:J1=5=#9<<1?6g=8;29?l4f2900e?m50;9j6a<722ch>7>5;hag>5<<al91<75`22094?=h::91<75rb274>5<3290;w)<7f;37g>N51<1C>4>4$075>7=n000;66gl2;29?lc42900c?==:188yg5203:157>50z&1<c<50k1C>4;4H3;3?!72>390e?650;9j6d<722c9o7>5;h0g>5<<aj81<75fce83>>ob;3:17b<<2;29?j44;3:17pl<5883>1<729q/>5h515a8L7?23A82<6*>5781?l>>2900en<50;9ja6<722e9??4?::a70g=8331<7>t$3:e>7>e3A8296F=919'500=;2c947>5;h0b>5<<a;i1<75f2e83>>od:3:17dmk:188m`5=831d>><50;9l665=831vn>;m:187>5<7s-83j7?;c:J1=0=O:0:0(<;9:39j<<<722ch>7>5;hg0>5<<g;996=44}c16g?6=13:1<v*=8g81<g=O:0?0D?7?;%362?5<a;21<75f2`83>>o5k3:17d<k:188mf4=831boi4?::kf7?6=3f88>7>5;n007?6=3th89i4?:583>5}#:1l1=9m4H3;6?M4>82.:9;4=;h::>5<<aj81<75fe283>>i5;;0;66sm34g94??=83:p(?6i:3:a?M4>=2B95=5+14497>o503:17d<n:188m7e=831b>i4?::k`6?6=3`io6=44id194?=h::81<75`22194?=zj:?m6=4;:183!4?n3;?o6F=949K6<6<,8?=6?5f8883>>od:3:17dk<:188k7552900qo=90;291?6=8r.94k4>4e9K6<3<@;3;7d66:188mf4=831bi>4?::k171<722e9??4?::a737=83?1<7>t$3:e>42c3A8296F=919j<<<722ch>7>5;hg0>5<<a;9?6=44o311>5<<uk9=>7>55;294~"50o0:8i5G2878L7?73`226=44ib094?=nm:0;66g=3583>>i5;;0;66sm37194?3=83:p(?6i:06g?M4>=2B95=5f8883>>od:3:17dk<:188m7532900c?==:188yg51<3:1?7>50z&1<c<6=j1C>4;4H3;3?!72>38=7d?:2;29?l72;3:17b?7a;29?xd4><0;684?:1y'6=`=9=n0D?7:;I0:4>"6=?097d66:188mf4=831bi>4?::k171<722e9??4?::a730=8391<7>t$3:e>43d3A8296F=919'500=:?1b=8<50;9j505=831d=5o50;9~f600290>6=4?{%0;b?73l2B9585G2828 4312;1b444?::k`6?6=3`o86=44i317>5<<g;996=44}c15<?6=;3:1<v*=8g821f=O:0?0D?7?;%362?413`;>>7>5;h367?6=3f;3m7>5;|`02<<72<0;6=u+29d951b<@;3>7E<60:&213<53`226=44ib094?=nm:0;66g=3583>>i5;;0;66sm37c94?5=83:p(?6i:07`?M4>=2B95=5+144963=n9<81<75f14194?=h91k1<75rb24a>5<2290;w)<7f;37`>N51<1C>4>4$075>7=n000;66gl2;29?lc42900e?=;:188k7552900qo=9c;297?6=8r.94k4>5b9K6<3<@;3;7)?:6;05?l72:3:17d?:3;29?j7?i3:17pl<6e83>0<729q/>5h515f8L7?23A82<6*>5781?l>>2900en<50;9ja6<722c9?94?::m177<722wi?;k50;194?6|,;2m6<;l;I0:1>N5191/=885279j504=831b=8=50;9l5=g=831vn>8i:186>5<7s-83j7?;d:J1=0=O:0:0(<;9:39j<<<722ch>7>5;hg0>5<<a;9?6=44o311>5<<uk9<<7>53;294~"50o0:9n5G2878L7?73-;>:7<9;h366?6=3`;>?7>5;n3;e?6=3th8;<4?:483>5}#:1l1=9j4H3;6?M4>82.:9;4=;h::>5<<aj81<75fe283>>o5;=0;66a=3383>>{e;>81<7=50;2x 7>a28?h7E<65:J1=5=#9<<1>;5f14094?=n9<91<75`19c94?=zj:=86=4::183!4?n3;?h6F=949K6<6<,8?=6?5f8883>>od:3:17dk<:188m7532900c?==:188yg50<3:1?7>50z&1<c<6=j1C>4;4H3;3?!72>38=7d?:2;29?l72;3:17b?7a;29?xd4?<0;684?:1y'6=`=9=n0D?7:;I0:4>"6=?097d66:188mf4=831bi>4?::k171<722e9??4?::a720=8391<7>t$3:e>43d3A8296F=919'500=:?1b=8<50;9j505=831d=5o50;9~f610290>6=4?{%0;b?73l2B9585G2828 4312;1b444?::k`6?6=3`o86=44i317>5<<g;996=44}c14<?6=;3:1<v*=8g821f=O:0?0D?7?;%362?413`;>>7>5;h367?6=3f;3m7>5;|`03<<72<0;6=u+29d951b<@;3>7E<60:&213<53`226=44ib094?=nm:0;66g=3583>>i5;;0;66sm36c94?5=83:p(?6i:07`?M4>=2B95=5+144963=n9<81<75f14194?=h91k1<75rb25a>5<2290;w)<7f;37`>N51<1C>4>4$075>7=n000;66gl2;29?lc42900e?=;:188k7552900qo=8c;297?6=8r.94k4>5b9K6<3<@;3;7)?:6;05?l72:3:17d?:3;29?j7?i3:17pl<7e83>0<729q/>5h515f8L7?23A82<6*>5781?l>>2900en<50;9ja6<722c9?94?::m177<722wi?:k50;194?6|,;2m6<;l;I0:1>N5191/=885279j504=831b=8=50;9l5=g=831vn>9i:186>5<7s-83j7?;d:J1=0=O:0:0(<;9:39j<<<722ch>7>5;hg0>5<<a;9?6=44o311>5<<uk93<7>53;294~"50o0:9n5G2878L7?73-;>:7<9;h366?6=3`;>?7>5;n3;e?6=3th84<4?:483>5}#:1l1=9j4H3;6?M4>82.:9;4=;h::>5<<aj81<75fe283>>o5;=0;66a=3383>>{e;181<7=50;2x 7>a28?h7E<65:J1=5=#9<<1>;5f14094?=n9<91<75`19c94?=zj:286=4::183!4?n3;?h6F=949K6<6<,8?=6?5f8883>>od:3:17dk<:188m7532900c?==:188yg5?<3:1?7>50z&1<c<6=j1C>4;4H3;3?!72>38=7d?:2;29?l72;3:17b?7a;29?xd40<0;684?:1y'6=`=9=n0D?7:;I0:4>"6=?097d66:188mf4=831bi>4?::k171<722e9??4?::pe40=838pR<>>;<16a?c43tyj=:4?:3y]bc=:;<i1i>5rs`3;>5<5sWln70=:a;g0?xuf900;6?uQfe9>70>=m:1vl?n:181[`d349>:7k<;|qb5g<72;qUjo523469a6=z{h;h6=4={_db?852:3o87p}n1e83>7}Y9;:01>;?:d18yvg6m3:1>vP>1g9>71c=m:1vl?i:181[76m2788n4j3:pe76=838pR<?k;<17e?c43tyj><4?:3y]54e<5:>36h=4}rc16?6=:rT:=o523549a6=z{h886=4={_32=>;4<=0n?6s|a3694?4|V8;:70=<e;g0?xuf:<0;6?uQ114896252l90q~o=6;296~Xa12788=4j3:pe71=8393wSmk;<10a?ec3498j766;<174?ec349?=766;<176?ec349??766;<170?ec349?9766;<172?ec349?;766;<17<?ec349?5766;<17e?ec349?n766;<17g?ec349?h766;<17a?ec349?j766;<164?ec349>=766;<166?ec349>?766;<160?ec349>9766;<162?ec349>;766;<16<?ec349>5766;<16e?ec349>n766;<16g?ec349>h766;<16a?ec349>j766;<154?>>349==766;<156?>>349=?766;<151?>>349=;766;<15=?>>349=n766;<15`?>>349=j766;<145?>>349<?766;<141?>>349<;766;<14=?>>349<n766;<14`?>>349<j766;<1;5?>>3493?766;<1;1?>>3tyj>54?:2:xZf4<5:9n6n<4=21e>f4<5:>;6n<4=262>f4<5:>96n<4=260>f4<5:>?6n<4=266>f4<5:>=6n<4=264>f4<5:>36n<4=26:>f4<5:>j6n<4=26a>f4<5:>h6n<4=26g>f4<5:>n6n<4=26e>f4<5:?;6n<4=272>f4<5:?96n<4=270>f4<5:??6n<4=276>f4<5:?=6n<4=274>f4<5:?36n<4=27:>f4<5:?j6n<4=27a>f4<5:?h6n<4=27g>f4<5:?n6n<4=27e>f4<5:<;6n<4=242>f4<5:<96n<4=240>f4<5:<>6n<4=244>f4<5:<26n<4=24a>f4<5:<o6n<4=24e>f4<5:=:6n<4=250>f4<5:=>6n<4=254>f4<5:=26n<4=25a>f4<5:=o6n<4=25e>f4<5:2:6n<4=2:0>f4<5:2>6n<4}rc1=?6=9?qU>584=243>753349==7<<4:?027<5;=16?;=5226896022;9?70=97;000>;4>009?95237`9662<5:<o6?=;;<15b?44<278;<4=359>725=::>01>9::317?850?388863<788171=:;>h1>>:4=25g>753349<j7<<4:?0<4<5;=16?5=5226896>22;9?7p}n2`83>7}Y9;k01>9<:311?xuf:k0;6?uQ13:896162;997p}n2b83>7}Y9;=01>8i:311?xuf:m0;6?uQ1348960c2;997p}n2d83>7}Y9;?01>8m:311?xuf:o0;6?uQ1368960>2;997p}n3183>7}Y9;901>88:311?xuf;80;6?uQ15;896022;997p}n3383>7}Y9=201>6<:311?xuf;:0;6?uQ155896>62;997p}n3583>7}Y9=<01>9i:311?xuf;<0;6?uQ1578961c2;997p}n3783>7}Y9=>01>9m:311?xuf;>0;6?uQ1508961>2;997p}n3983>7}Y9:k01>6::311?xuf;00;6?uQ13d896102;997p}n3`83>7}Y9;801>9::311?xuf;k0;6>:t=21`>42a3498i7<7;<10a?4d3498i7<k;<174?4?349?<7<l;<174?4c349?>7<7;<176?4d349?>7<k;<170?4?349?87<l;<170?4c349?:7<7;<172?4d349?:7<k;<17<?4?349?47<l;<17<?4c349?m7<7;<17e?4d349?m7<k;<17g?4?349?o7<l;<17g?4c349?i7<7;<17a?4d349?i7<k;<164?4?349><7<l;<164?4c349>>7<7;<166?4d349>>7<k;<160?4?349>87<l;<160?4c349>:7<7;<162?4d349>:7<k;<16<?4?349>47<l;<16<?4c349>m7<7;<16e?4d349>m7<k;<16g?4?349>o7<l;<16g?4c349>i7<7;<16a?4d349>i7<k;|qb7f<7289p1>=k:0;;?854m38j70=;0;0b?853:38j70=;4;0b?853>38j70=;8;0b?853i38j70=;c;0b?853m38j70=:0;0b?852:38j70=:4;0b?852>38j70=:8;0b?852i38j70=:c;0b?852m38j70=90;g0?xuf;m0;6?u232g9664<5:9m6h=4}rc0a?6=:r78?k4=339>7=2=9<80q~o<f;296~;4<909??523539a6=z{h>;6=4={<175?44:278;94>539~wd262909w0=;2;006>;4<:0n?6s|a5094?4|5:>86?==;<142?72:2wxm9=50;0x96232;9970=;5;g0?xuf<=0;6?u23579664<5:=36<;=;|qb00<72;q6?985220896202l90q~o;6;296~;4<>09??5236c9504<uzk?;7>52z?00=<5;;16?975e29~wd2?2909w0=;9;006>;4?j0:9?5rs`6:>5<5s49?m7<<2:?00g<b;2wxm9o50;0x962e2;9970=8e;366>{ti=h1<7<t=26`>755349?h7k<;|qb0f<72;q6?9j5220896>728?97p}n4e83>7}:;=o1>><4=26e>`5<uzk?i7>52z?00c<5;;16?5<51408yvg3n3:1>v3<518177=:;<;1i>5rs`73>5<5s49>=7<<2:?021<6=;1vl;>:181852:388>63<528f7>{ti<81<7<t=270>755349=:7?:2:pe05=838p1>;;:311?852=3o87p}n5583>7}:;<?1>><4=24;>4353tyj984?:3y>700=::801>;8:d18yvg2>3:1>v3<568177=:;?k1=8<4}rc63?6=:r78954=339>70?=m:1vl;7:1818521388>63<6b8217=z{h?26=4={<16e?44:2789o4j3:pe0g=838p1>;m:311?851m3;>>6s|a4`94?4|5:?h6?==;<16`?c43tyj9n4?:3y>70b=::801>9?:071?xuf=m0;6?u234g9664<5:?m6h=4}rc6a?6=:r789k4=339>724=9<80q~o:f;296~;4>909??523739a6=z{h<;6=4={<155?44:278:?4j3:pe37=838p1>8=:311?851;3o87p}n6383>44|5:<86?==;<150?72;278:;4>529>73>=9<901>8n:070?851k3;>?63<6d8216=:;>:1=8=4=251>434349<87?:3:?033<6=:16?:651418961f28?870=8c;367>;4?l0:9>523929505<5:296<;<;<1;0?72;2wxm;=50;0x9603282j70=95;g0?xuf>=0;6?u237495=g<5:<<6h=4}rc51?6=:r78:54>8`9>73?=m:1vl89:181851i3;3m63<6c8f7>{ti?=1<7<t=24`>4>f349=h7k<;|qb2=<72;q6?;k519c8960a2l90q~o99;296~;4?90:4l523639a6=z{h<j6=4={<146?7?i278;>4j3:pe3d=838p1>9;:0:b?850=3o87p}n6b83>7}:;><1=5o4=254>`5<uzk=h7>52z?03=<60h16?:75e29~wd0b2909w0=8a;3;e>;4?k0n?6s|a7d94?4|5:=h6<6n;<14`?c43tyj;=4?:3y>72c=91k01>9i:d18yvg093:1>v3<8182<d=:;1;1i>5rs`51>5<5s493>7?7a:?0<6<b;2wxm:=50;0x96>3282j70=75;g0?x{e;1<1<7;51;3xL7?73-83j7;98:X;3?4|m3l1qdh6:18'67`=n11e>?k50:9jga<722ch>7>5;h0;2?6=3f;9>7>5$30e>4463g89i7>4;c1;3?6=93:1<v*=8g8215=O:0?0D?7?;n37b?6=3tyj;94?:3y]574<5:2<6<:i;|a7=>=838>6?<537yK6<6<,;2m6886;[:4>7}22?0ve<>>:18'67`=99:0b?<j:198mc`=83.9>k4>019m67c=921bjh4?:%01b?7782d9>h4=;:ke`?6=,;8m6<>?;o01a?5<3`lh6=4+23d9556<f;8n6954ig`94?"5:o0:<=5a23g91>=nnh0;6)<=f;334>h5:l0=76g>2183>!45n3;;<6`=2d84?>o69o0;6)<=f;334>h5:l0376g>1d83>!45n3;;<6`=2d8:?>o69m0;6)<=f;334>h5:l0j76g>1b83>!45n3;;<6`=2d8a?>o69k0;6)<=f;334>h5:l0h76g>1883>!45n3;;<6`=2d8g?>o6980;6)<=f;334>h5:l0n76g>0783>!45n3;;<6`=2d8e?>oa13:1(?<i:023?k45m3;;76gld;29?le52900e?69:188k44f290/>?h513;8j74b2910c<<7:18'67`=9;30b?<j:098k440290/>?h513;8j74b2;10c<<9:18'67`=9;30b?<j:298k442290/>?h513;8j74b2=10c<<;:18'67`=9;30b?<j:498k444290/>?h513;8j74b2?10c<:6:18'67`=9;30b?<j:698k42?290/>?h513;8j74b2110c<:8:18'67`=9;30b?<j:898k421290/>?h513;8j74b2h10c<:::18'67`=9;30b?<j:c98k423290/>?h513;8j74b2j10c<:=:18'67`=9;30b?<j:e98k45f290/>?h513;8j74b2l10c<<i:18'67`=9;30b?<j:g98k445290/>?h513;8j74b28:07o=79;291?6=8r.94k4>4e9K6<3<@;3;7)?:6;08m=?=831bo?4?::kf7?6=3`8887>5;n006?6=3th84l4?:483>5}#:1l1=9j4H3;6?M4>82.:9;4=;h::>5<<aj81<75fe283>>o5;=0;66a=3383>>{e;1h1<7;50;2x 7>a28>o7E<65:J1=5=#9<<1>6g79;29?le52900eh=50;9j662=831d>><50;9~f6>d290>6=4?{%0;b?73l2B9585G2828 4312;1b444?::k`6?6=3`o86=44i317>5<<g;996=44}c1;`?6==3:1<v*=8g820a=O:0?0D?7?;%362?4<a131<75fc383>>ob;3:17d<<4;29?j44:3:17pl<8d83>0<729q/>5h515f8L7?23A82<6*>5781?l>>2900en<50;9ja6<722c9?94?::m177<722wi?5h50;794?6|,;2m6<:k;I0:1>N5191/=8852:k;=?6=3`i96=44id194?=n::>1<75`22094?=zj:3;6=4::183!4?n3;?h6F=949K6<6<,8?=6?5f8883>>od:3:17dk<:188m7532900c?==:188yg5>93:197>50z&1<c<6<m1C>4;4H3;3?!72>380e5750;9jg7<722cn?7>5;h000?6=3f88>7>5;|`0=7<72<0;6=u+29d951b<@;3>7E<60:&213<53`226=44ib094?=nm:0;66g=3583>>i5;;0;66sm38194?3=83:p(?6i:06g?M4>=2B95=5+14496>o?13:17dm=:188m`5=831b>>:50;9l664=831vn>7;:186>5<7s-83j7?;d:J1=0=O:0:0(<;9:39j<<<722ch>7>5;hg0>5<<a;9?6=44o311>5<<uk9297>55;294~"50o0:8i5G2878L7?73-;>:7<4i9;94?=nk;0;66gj3;29?l44<3:17b<<2;29?xd41?0;684?:1y'6=`=9=n0D?7:;I0:4>"6=?097d66:188mf4=831bi>4?::k171<722e9??4?::a7<1=83?1<7>t$3:e>42c3A8296F=919'500=:2c357>5;ha1>5<<al91<75f22694?=h::81<75rb2;;>5<2290;w)<7f;37`>N51<1C>4>4$075>7=n000;66gl2;29?lc42900e?=;:188k7552900qo=69;291?6=8r.94k4>4e9K6<3<@;3;7)?:6;08m=?=831bo?4?::kf7?6=3`8887>5;n006?6=3th85l4?:483>5}#:1l1=9j4H3;6?M4>82.:9;4=;h::>5<<aj81<75fe283>>o5;=0;66a=3383>>{e;0h1<7;50;2x 7>a28>o7E<65:J1=5=#9<<1>6g79;29?le52900eh=50;9j662=831d>><50;9~f6?d290>6=4?{%0;b?73l2B9585G2828 4312;1b444?::k`6?6=3`o86=44i317>5<<g;996=44}c1:`?6==3:1<v*=8g820a=O:0?0D?7?;%362?4<a131<75fc383>>ob;3:17d<<4;29?j44:3:17pl<9d83>0<729q/>5h515f8L7?23A82<6*>5781?l>>2900en<50;9ja6<722c9?94?::m177<722wi?4h50;794?6|,;2m6<:k;I0:1>N5191/=8852:k;=?6=3`i96=44id194?=n::>1<75`22094?=zj:k;6=4::183!4?n3;?h6F=949K6<6<,8?=6?5f8883>>od:3:17dk<:188m7532900c?==:188yg5f93:197>50z&1<c<6<m1C>4;4H3;3?!72>380e5750;9jg7<722cn?7>5;h000?6=3f88>7>5;|`0e7<72<0;6=u+29d951b<@;3>7E<60:&213<53`226=44ib094?=nm:0;66g=3583>>i5;;0;66sm3`194?3=83:p(?6i:06g?M4>=2B95=5+14496>o?13:17dm=:188m`5=831b>>:50;9l664=831vn>o;:186>5<7s-83j7?;d:J1=0=O:0:0(<;9:39j<<<722ch>7>5;hg0>5<<a;9?6=44o311>5<<uk9j97>55;294~"50o0:8i5G2878L7?73-;>:7<4i9;94?=nk;0;66gj3;29?l44<3:17b<<2;29?xd4i?0;684?:1y'6=`=9=n0D?7:;I0:4>"6=?097d66:188mf4=831bi>4?::k171<722e9??4?::a7d1=83?1<7>t$3:e>42c3A8296F=919'500=:2c357>5;ha1>5<<al91<75f22694?=h::81<75rb2c;>5<2290;w)<7f;37`>N51<1C>4>4$075>7=n000;66gl2;29?lc42900e?=;:188k7552900qo=n9;291?6=8r.94k4>4e9K6<3<@;3;7)?:6;08m=?=831bo?4?::kf7?6=3`8887>5;n006?6=3th8ml4?:483>5}#:1l1=9j4H3;6?M4>82.:9;4=;h::>5<<aj81<75fe283>>o5;=0;66a=3383>>{ti>?1<7<t^022?85>13o87p}n7783>7}Yno16?465e29~wd102909wShj;<1:3?c43tyj;54?:3y]ba=:;0<1i>5rs`5:>5<5sWlh70=65;g0?xuf?h0;6?uQfc9>7<2=m:1vl9m:181[`f3492?7k<;|qb3f<72;qU=?>4=2;1>`5<uzk<h7>52z\25c=:;0;1i>5rs`5f>5<5sW;:i63<918f7>{ti>l1<7<t^03g?85?n3o87p}n8183>7}Y98i01>6j:d18yvg?93:1>vP>1c9>7=b=m:1vl6=:181[7612784n4j3:pe=5=838pR<?>;<1;f?c43tyj494?:3y]550<5:2j6h=4}rc;1?6=:rTm563<888f7>{ti1<1<7<<{_ag?85?132270=7a;::?85?j32270=7c;::?85?l32270=7e;::?85?n32270=60;::?85>932270=62;::?85>;32270=64;::?85>=32270=66;::?85>?32270=68;::?85>132270=6a;::?85>j32270=6c;::?85>l32270=6e;::?85>n32270=n0;::?85f932270=n2;::?85f;32270=n4;::?85f=32270=n6;::?85f?32270=n8;::?85f132270=na;::?xuf0>0;6?=t^b0896>>2j801>6n:b0896>e2j801>6l:b0896>c2j801>6j:b0896>a2j801>7?:b0896?62j801>7=:b0896?42j801>7;:b0896?22j801>79:b0896?02j801>77:b0896?>2j801>7n:b0896?e2j801>7l:b0896?c2j801>7j:b0896?a2j801>o?:b0896g62j801>o=:b0896g42j801>o;:b0896g22j801>o9:b0896g02j801>o7:b0896g>2j801>on:b08yvg?03:1>>uQ294896>>2;9?70=7a;000>;40k09?95239a9662<5:2o6?=;;<1;a?44<2784k4=359>7<6=::>01>7>:317?85>:388863<928171=:;0>1>>:4=2;6>7533492:7<<4:?0=2<5;=16?465226896?>2;9?70=6a;000>;41k09?95238a9662<5:3o6?=;;<1:a?44<2785k4=359>7d6=::>01>o>:317?85f:388863<a28171=:;h>1>>:4=2c6>753349j:7<<4:?0e2<5;=16?l65226896g>2;9?70=na;000>{ti131<7<t=2;:>755349jm7k<;|qb<d<72;q6?465220896g>2l90q~o7b;296~;41>09??523`:9a6=z{h2h6=4={<1:2?44:278m:4j3:pe=b=838p1>7::311?85f>3o87p}n8d83>7}:;0>1>><4=2c6>`5<uzk3j7>52z?0=6<5;;16?l:5e29~wd?72909w0=62;006>;4i:0n?6s|a8394?4|5:3:6?==;<1b6?c43tyj5?4?:3y>7<6=::801>o>:d18yvg>;3:1>v3<8g8177=:;h:1i>5rs`;7>5<5s493i7<<2:?0=c<b;2wxm4;50;0x96>c2;9970=6e;g0?xuf1?0;6?u239a9664<5:3o6h=4}rc:3?6=:r784o4=339>7<e=m:1vl77:18185?i388>63<9c8f7>{ti031<7<t=2::>7553492m7k<;|qb=d<72;qU=?o4=2cb>7553tyj5o4?:3y]57><5:k26?==;|qb=f<72;qU=?94=2c;>7553tyj5i4?:3y]570<5:k<6?==;|qb=`<72;qU=?;4=2c5>7553tyj5k4?:3y]572<5:k>6?==;|qbe5<72;qU=?=4=2c7>7553tyjm<4?:3y]51?<5:k86?==;|qbe7<72;qU=964=2c1>7553tyjm>4?:3y]511<5:k:6?==;|qbe1<72;qU=984=2c3>7553tyjm84?:3y]513<5:3m6?==;|qbe3<72;qU=9:4=2;f>7553tyjm:4?:3y]514<5:3o6?==;|qbe=<72;qU=>o4=2;`>7553tyjm44?:3y]57`<5:3i6?==;|qbed<72;qU=?<4=2;b>7553twi?ll50;6a>5<5<rB95=5+29d913g<R1=1>v?::049yl7603:1(?<i:034?k45m3:07d?>6;29 74a28;<7c<=e;38?l76=3:1(?<i:034?k45m3807d?>4;29 74a28;<7c<=e;18?l76;3:1(?<i:034?k45m3>07d?>2;29 74a28;<7c<=e;78?l7683:1(?<i:034?k45m3<07d??f;29 74a28;<7c<=e;58?l77m3:1(?<i:034?k45m3207d??d;29 74a28;<7c<=e;;8?l77k3:1(?<i:034?k45m3k07d??b;29 74a28;<7c<=e;`8?l77i3:1(?<i:034?k45m3i07d??9;29 74a28;<7c<=e;f8?l7703:1(?<i:034?k45m3o07d??7;29 74a28;<7c<=e;d8?l77=3:1(?<i:034?k45m3;;76g>0583>!45n3;:;6`=2d825>=n9991<7*=2g8252=i:;o1=?54i022>5<#:;l1=<94n30f>45<3`lm6=4+23d9541<f;8n6<:4;hdf>5<#:;l1=<94n30f>43<3`lo6=4+23d9541<f;8n6<84;hd`>5<#:;l1=<94n30f>41<3`li6=4+23d9541<f;8n6<64;hdb>5<#:;l1=<94n30f>4?<3`;9<7>5$30e>4703g89i7?n;:k25c<72-89j7?>7:l16`<6j21b=<k50;&16c<69>1e>?k51b98m47c290/>?h51058j74b28n07d?>c;29 74a28;<7c<=e;3f?>o69k0;6)<=f;323>h5:l0:j65f10;94?"5:o0:=:5a23g965=<a8;:6=4+23d9541<f;8n6??4;h332?6=,;8m6<?8;o01a?4532cm57>5$30e>4703g89i7<<;:k``?6=3`i96=44i3:5>5<<g8>:6=4+23d9516<f;8n6=54o01e>5<#:;l1=9>4n30f>4=<g89n6=4+23d9516<f;8n6?54o01g>5<#:;l1=9>4n30f>6=<g89h6=4+23d9516<f;8n6954o01a>5<#:;l1=9>4n30f>0=<g8926=4+23d9516<f;8n6;54o01;>5<#:;l1=9>4n30f>2=<g89<6=4+23d9516<f;8n6554o015>5<#:;l1=9>4n30f><=<g89>6=4+23d9516<f;8n6l54o017>5<#:;l1=9>4n30f>g=<g8986=4+23d9516<f;8n6n54o011>5<#:;l1=9>4n30f>a=<g89:6=4+23d9516<f;8n6h54o013>5<#:;l1=9>4n30f>c=<g88n6=4+23d9516<f;8n6<>4;n31`?6=,;8m6<:?;o01a?7632e:>n4?:%01b?7382d9>h4>2:9l57g=83.9>k4>419m67c=9:10c<<7:18'67`=9=:0b?<j:068?j75?3:1(?<i:063?k45m3;>76a>2783>!45n3;?<6`=2d822>=h9;?1<7*=2g8205=i:;o1=:54o007>5<#:;l1=9>4n30f>4><3f;9?7>5$30e>4273g89i7?6;:m20<<72-89j7?;0:l16`<6i21d=9650;&16c<6<91e>?k51c98k420290/>?h51528j74b28i07b?;6;29 74a28>;7c<=e;3g?>i6<<0;6)<=f;374>h5:l0:i65`15694?"5:o0:8=5a23g95c=<g8>96=4+23d9516<f;8n6?>4;n30e?6=,;8m6<:?;o01a?4632e:>k4?:%01b?7382d9>h4=2:9l574=83.9>k4>419m67c=::10lom:181[7602T:8<5rs`c`>5<5sW;::6P>3g9~wdgc2909wS?>5:\27`=z{hkn6=4={_320>X6;m1vloi:181[76;2T:?n5rs``3>5<5sW;:>6P>3c9~wdd62909wS?>0:\27<=z{hh96=4={_33b>X6;11vll<:181[77m2T:?:5rs``7>5<5sW;;h6P>379~wdd22909wS??c:\270=z{hh=6=4={_33f>X6;=1vll8:181[77i2T:?>5rs``;>5<5sW;;56P>339~wdd>2909wS??8:\274=z{hhj6=4={_333>X6;91vllm:181[77=2T:>h5rs```>5<5sW;;86P>2e9~wddc2909wS??3:\26f=z{hhn6=4={_335>X6:h1vlli:181[`a3W;946s|ab294?4|Voo0R<<8;|qbg4<72;qUji5Q1348yvgd:3:1>vPic:\260=z{hi86=4={_da?[75<2wxmn:50;0xZcg<V8887p}nc483>7}Y9;:0R<:6;|qbg3<72;qU=<h4^06;?xufk>0;6?uQ10g8Z4203tyjo54?:3y]54b<V8>=7p}nc883>7}Y98i0R<::;|qbgd<72;qU=<l4^067?xufkk0;6?uQ10;8Z4253tyjon4?:3y]547<V89j7p}nce83>7}Y99<0R<<i;|qbg`<72;qUj45Q1308yxd4ij0;684?:1y'6=`=9=n0D?7:;I0:4>"6=?097d66:188mf4=831bi>4?::k171<722e9??4?::a7db=83?1<7>t$3:e>42c3A8296F=919'500=:2c357>5;ha1>5<<al91<75f22694?=h::81<75rb2cf>5<2290;w)<7f;37`>N51<1C>4>4$075>7=n000;66gl2;29?lc42900e?=;:188k7552900qo=nf;297?6=8r.94k4=959K6<3<@;3;7dml:188m43?2900c<6n:188yg5e83:1?7>50z&1<c<51=1C>4;4H3;3?led2900e<;7:188k4>f2900qo=m1;290?6=8r.94k4>849K6<3<@;3;7dml:188mc1=831b>>k50;9l5=g=831vn>l=:186>5<7s-83j7?:e:J1=0=O:0:0(<;9:328m4352900e<;<:188m4332900e<;::188k4>f2900qo=m3;297?6=8r.94k4=959K6<3<@;3;7dml:188m43?2900c<6n:188yg5e<3:187>50z&1<c<60<1C>4;4H3;3?led2900ek950;9j66c=831d=5o50;9~f6d2290>6=4?{%0;b?72m2B9585G2828 4312;:0e<;=:188m4342900e<;;:188m4322900c<6n:188yg5e>3:1?7>50z&1<c<51=1C>4;4H3;3?led2900e<;7:188k4>f2900qo=m7;290?6=8r.94k4>849K6<3<@;3;7dml:188mc1=831b>>k50;9l5=g=831vn>l7:186>5<7s-83j7?:e:J1=0=O:0:0(<;9:328m4352900e<;<:188m4332900e<;::188k4>f2900qo=m9;297?6=8r.94k4=959K6<3<@;3;7dml:188m43?2900c<6n:188yg5ei3:187>50z&1<c<60<1C>4;4H3;3?led2900ek950;9j66c=831d=5o50;9~f6de290>6=4?{%0;b?72m2B9585G2828 4312;:0e<;=:188m4342900e<;;:188m4322900c<6n:188yg5ek3:1?7>50z&1<c<51=1C>4;4H3;3?led2900e<;7:188k4>f2900qo=md;290?6=8r.94k4>849K6<3<@;3;7dml:188mc1=831b>>k50;9l5=g=831vn>lj:186>5<7s-83j7?:e:J1=0=O:0:0(<;9:328m4352900e<;<:188m4332900e<;::188k4>f2900qo=mf;297?6=8r.94k4=959K6<3<@;3;7dml:188m43?2900c<6n:188yg5d83:187>50z&1<c<60<1C>4;4H3;3?led2900ek950;9j66c=831d=5o50;9~f6e6290>6=4?{%0;b?72m2B9585G2828 4312;:0e<;=:188m4342900e<;;:188m4322900c<6n:188yg5d:3:1?7>50z&1<c<51=1C>4;4H3;3?led2900e<;7:188k4>f2900qo=l3;290?6=8r.94k4>849K6<3<@;3;7dml:188mc1=831b>>k50;9l5=g=831vn>m;:186>5<7s-83j7?:e:J1=0=O:0:0(<;9:328m4352900e<;<:188m4332900e<;::188k4>f2900qo=l5;297?6=8r.94k4=959K6<3<@;3;7dml:188m43?2900c<6n:188yg5d>3:187>50z&1<c<60<1C>4;4H3;3?led2900ek950;9j66c=831d=5o50;9~f6e0290>6=4?{%0;b?72m2B9585G2828 4312;:0e<;=:188m4342900e<;;:188m4322900c<6n:188yg5d03:1?7>50z&1<c<51=1C>4;4H3;3?led2900e<;7:188k4>f2900qo=l9;290?6=8r.94k4>849K6<3<@;3;7dml:188mc1=831b>>k50;9l5=g=831vn>mn:186>5<7s-83j7?:e:J1=0=O:0:0(<;9:328m4352900e<;<:188m4332900e<;::188k4>f2900qo=lb;297?6=8r.94k4=959K6<3<@;3;7dml:188m43?2900c<6n:188yg5dk3:187>50z&1<c<60<1C>4;4H3;3?led2900ek950;9j66c=831d=5o50;9~f6ec290>6=4?{%0;b?72m2B9585G2828 4312;:0e<;=:188m4342900e<;;:188m4322900c<6n:188yg5dm3:1?7>50z&1<c<51=1C>4;4H3;3?led2900e<;7:188k4>f2900qo=lf;290?6=8r.94k4>849K6<3<@;3;7dml:188mc1=831b>>k50;9l5=g=831vn>j?:186>5<7s-83j7?:e:J1=0=O:0:0(<;9:328m4352900e<;<:188m4332900e<;::188k4>f2900qo=k1;297?6=8r.94k4=959K6<3<@;3;7dml:188m43?2900c<6n:188yg5c:3:187>50z&1<c<60<1C>4;4H3;3?led2900ek950;9j66c=831d=5o50;9~f6b4290>6=4?{%0;b?72m2B9585G2828 4312;:0e<;=:188m4342900e<;;:188m4322900c<6n:188yg5c<3:1?7>50z&1<c<51=1C>4;4H3;3?led2900e<;7:188k4>f2900qo=k5;290?6=8r.94k4>849K6<3<@;3;7dml:188mc1=831b>>k50;9l5=g=831vn>j9:186>5<7s-83j7?:e:J1=0=O:0:0(<;9:328m4352900e<;<:188m4332900e<;::188k4>f2900qo=k7;297?6=8r.94k4=959K6<3<@;3;7dml:188m43?2900c<6n:188yg5c03:187>50z&1<c<60<1C>4;4H3;3?led2900ek950;9j66c=831d=5o50;9~f6b>290>6=4?{%0;b?72m2B9585G2828 4312;:0e<;=:188m4342900e<;;:188m4322900c<6n:188yg5ci3:1?7>50z&1<c<51=1C>4;4H3;3?led2900e<;7:188k4>f2900qo=kb;290?6=8r.94k4>849K6<3<@;3;7dml:188mc1=831b>>k50;9l5=g=831vn>jl:186>5<7s-83j7?:e:J1=0=O:0:0(<;9:328m4352900e<;<:188m4332900e<;::188k4>f2900qo=kd;297?6=8r.94k4=959K6<3<@;3;7dml:188m43?2900c<6n:188yg5cm3:187>50z&1<c<60<1C>4;4H3;3?led2900ek950;9j66c=831d=5o50;9~f6ba290>6=4?{%0;b?72m2B9585G2828 4312;:0e<;=:188m4342900e<;;:188m4322900c<6n:188yg5b83:1?7>50z&1<c<51=1C>4;4H3;3?led2900e<;7:188k4>f2900qo=j1;290?6=8r.94k4>849K6<3<@;3;7dml:188mc1=831b>>k50;9l5=g=831vn>k=:186>5<7s-83j7?:e:J1=0=O:0:0(<;9:328m4352900e<;<:188m4332900e<;::188k4>f2900qo=j3;297?6=8r.94k4=959K6<3<@;3;7dml:188m43?2900c<6n:188yg5b<3:187>50z&1<c<60<1C>4;4H3;3?led2900ek950;9j66c=831d=5o50;9~f6c2290>6=4?{%0;b?72m2B9585G2828 4312;:0e<;=:188m4342900e<;;:188m4322900c<6n:188yg5b>3:1?7>50z&1<c<51=1C>4;4H3;3?led2900e<;7:188k4>f2900qo=j7;290?6=8r.94k4>849K6<3<@;3;7dml:188mc1=831b>>k50;9l5=g=831vn>k7:186>5<7s-83j7?:e:J1=0=O:0:0(<;9:328m4352900e<;<:188m4332900e<;::188k4>f2900qo=j9;297?6=8r.94k4=959K6<3<@;3;7dml:188m43?2900c<6n:188yg5bi3:187>50z&1<c<60<1C>4;4H3;3?led2900ek950;9j66c=831d=5o50;9~f6ce290>6=4?{%0;b?72m2B9585G2828 4312;?0e<;=:188m4342900e<;;:188m4322900c<6n:188yg5bk3:1?7>50z&1<c<51=1C>4;4H3;3?led2900e<;7:188k4>f2900qo=jd;290?6=8r.94k4>849K6<3<@;3;7dml:188mc1=831b>>k50;9l5=g=831vn>kj:186>5<7s-83j7?:e:J1=0=O:0:0(<;9:378m4352900e<;<:188m4332900e<;::188k4>f2900qo=jf;297?6=8r.94k4=959K6<3<@;3;7dml:188m43?2900c<6n:188yg5a83:187>50z&1<c<60<1C>4;4H3;3?led2900ek950;9j66c=831d=5o50;9~f6`6290>6=4?{%0;b?72m2B9585G2828 4312;?0e<;=:188m4342900e<;;:188m4322900c<6n:188yg5a:3:1?7>50z&1<c<51=1C>4;4H3;3?led2900e<;7:188k4>f2900qo=i3;290?6=8r.94k4>849K6<3<@;3;7dml:188mc1=831b>>k50;9l5=g=831vn>h;:186>5<7s-83j7?:e:J1=0=O:0:0(<;9:378m4352900e<;<:188m4332900e<;::188k4>f2900qo=i5;297?6=8r.94k4=959K6<3<@;3;7dml:188m43?2900c<6n:188yg5a>3:187>50z&1<c<60<1C>4;4H3;3?led2900ek950;9j66c=831d=5o50;9~f6`0290>6=4?{%0;b?72m2B9585G2828 4312;?0e<;=:188m4342900e<;;:188m4322900c<6n:188yg5a03:1?7>50z&1<c<51=1C>4;4H3;3?led2900e<;7:188k4>f2900qo=i9;290?6=8r.94k4>849K6<3<@;3;7dml:188mc1=831b>>k50;9l5=g=831vn>hn:186>5<7s-83j7?:e:J1=0=O:0:0(<;9:378m4352900e<;<:188m4332900e<;::188k4>f2900qo=ib;297?6=8r.94k4=959K6<3<@;3;7dml:188m43?2900c<6n:188yg5ak3:187>50z&1<c<60<1C>4;4H3;3?led2900ek950;9j66c=831d=5o50;9~f6`c290>6=4?{%0;b?72m2B9585G2828 4312;?0e<;=:188m4342900e<;;:188m4322900c<6n:188yg5am3:1?7>50z&1<c<51=1C>4;4H3;3?led2900e<;7:188k4>f2900qo=if;290?6=8r.94k4>849K6<3<@;3;7dml:188mc1=831b>>k50;9l5=g=831vn9>?:186>5<7s-83j7?:e:J1=0=O:0:0(<;9:338m4352900e<;<:188m4332900e<;::188k4>f2900qo:?1;297?6=8r.94k4=959K6<3<@;3;7dml:188m43?2900c<6n:188yg27:3:187>50z&1<c<60<1C>4;4H3;3?led2900ek950;9j66c=831d=5o50;9~f164290>6=4?{%0;b?72m2B9585G2828 4312;;0e<;=:188m4342900e<;;:188m4322900c<6n:188yg27<3:1?7>50z&1<c<51=1C>4;4H3;3?led2900e<;7:188k4>f2900qo:?5;290?6=8r.94k4>849K6<3<@;3;7dml:188mc1=831b>>k50;9l5=g=831vn9>9:186>5<7s-83j7?:e:J1=0=O:0:0(<;9:0d8m4352900e<;<:188m4332900e<;::188k4>f2900qo:?7;297?6=8r.94k4=959K6<3<@;3;7dml:188m43?2900c<6n:188yg2703:187>50z&1<c<60<1C>4;4H3;3?led2900ek950;9j66c=831d=5o50;9~f16>290>6=4?{%0;b?72m2B9585G2828 43128l0e<;=:188m4342900e<;;:188m4322900c<6n:188yg27i3:1?7>50z&1<c<51=1C>4;4H3;3?led2900e<;7:188k4>f2900qo:?b;290?6=8r.94k4>849K6<3<@;3;7dml:188mc1=831b>>k50;9l5=g=831vn9>l:186>5<7s-83j7?:e:J1=0=O:0:0(<;9:0d8m4352900e<;<:188m4332900e<;::188k4>f2900qo:?d;297?6=8r.94k4=959K6<3<@;3;7dml:188m43?2900c<6n:188yg27m3:187>50z&1<c<60<1C>4;4H3;3?led2900ek950;9j66c=831d=5o50;9~f16a290>6=4?{%0;b?72m2B9585G2828 43128l0e<;=:188m4342900e<;;:188m4322900c<6n:188yg2683:1?7>50z&1<c<51=1C>4;4H3;3?led2900e<;7:188k4>f2900qo:>1;290?6=8r.94k4>849K6<3<@;3;7dml:188mc1=831b>>k50;9l5=g=831vn9?=:186>5<7s-83j7?:e:J1=0=O:0:0(<;9:0d8m4352900e<;<:188m4332900e<;::188k4>f2900qo:>3;297?6=8r.94k4=959K6<3<@;3;7dml:188m43?2900c<6n:188yg26<3:187>50z&1<c<60<1C>4;4H3;3?led2900ek950;9j66c=831d=5o50;9~f172290>6=4?{%0;b?72m2B9585G2828 43128l0e<;=:188m4342900e<;;:188m4322900c<6n:188yg26>3:1?7>50z&1<c<51=1C>4;4H3;3?led2900e<;7:188k4>f2900qo:>7;290?6=8r.94k4>849K6<3<@;3;7dml:188mc1=831b>>k50;9l5=g=831vn9?7:186>5<7s-83j7?:e:J1=0=O:0:0(<;9:0d8m4352900e<;<:188m4332900e<;::188k4>f2900qo:>9;290?6=8r.94k4>849K6<3<@;3;7dml:188mc1=831b>>k50;9l5=g=831vn9?n:187>5<7s-83j7?:d:J1=0=O:0:0(<;9:0a8m4352900e<;<:188m4332900c<6n:188yg26j3:1?7>50z&1<c<51=1C>4;4H3;3?led2900e<;7:188k4>f2900qo:>c;297?6=8r.94k4=959K6<3<@;3;7dml:188m43?2900c<6n:188yg26l3:187>50z&1<c<60<1C>4;4H3;3?led2900ek950;9j66c=831d=5o50;9~f17b29086=4?{%0;b?4><2B9585G2828mfe=831b=8650;9l5=g=831vn9?i:187>5<7s-83j7?75:J1=0=O:0:0enm50;9jb2<722c9?h4?::m2<d<722wi8?>50;194?6|,;2m6?7;;I0:1>N5191bon4?::k21=<722e:4l4?::a077=83>1<7>t$3:e>4>23A8296F=919jgf<722cm;7>5;h00a?6=3f;3m7>5;|`767<72:0;6=u+29d96<2<@;3>7E<60:k`g?6=3`;>47>5;n3;e?6=3th?>>4?:583>5}#:1l1=5;4H3;6?M4>82cho7>5;hd4>5<<a;9n6=44o0:b>5<<uk>987>53;294~"50o09595G2878L7?73`ih6=44i07;>5<<g82j6=44}c611?6=<3:1<v*=8g82<0=O:0?0D?7?;ha`>5<<ao=1<75f22g94?=h91k1<75rb505>5<4290;w)<7f;0:0>N51<1C>4>4iba94?=n9<21<75`19c94?=zj=8<6=4;:183!4?n3;396F=949K6<6<aji1<75ff683>>o5;l0;66a>8`83>>{e<;21<7=50;2x 7>a2;3?7E<65:J1=5=nkj0;66g>5983>>i60h0;66sm43;94?2=83:p(?6i:0:6?M4>=2B95=5fcb83>>oa?3:17d<<e;29?j7?i3:17pl;2`83>6<729q/>5h52868L7?23A82<6glc;29?l7203:17b?7a;29?xd3:k0;694?:1y'6=`=91?0D?7:;I0:4>odk3:17dh8:188m75b2900c<6n:188yg25k3:1?7>50z&1<c<51=1C>4;4H3;3?led2900e<;7:188k4>f2900qo:=d;290?6=8r.94k4>849K6<3<@;3;7dml:188mc1=831b>>k50;9l5=g=831vn9<j:180>5<7s-83j7<64:J1=0=O:0:0enm50;9j50>=831d=5o50;9~f14a290?6=4?{%0;b?7?=2B9585G2828mfe=831bj:4?::k17`<722e:4l4?::a066=8391<7>t$3:e>7?33A8296F=919jgf<722c:954?::m2<d<722wi8>?50;694?6|,;2m6<6:;I0:1>N5191bon4?::ke3?6=3`88i7>5;n3;e?6=3th???4?:283>5}#:1l1>4:4H3;6?M4>82cho7>5;h36<?6=3f;3m7>5;|`776<72=0;6=u+29d95=3<@;3>7E<60:k`g?6=3`l<6=44i31f>5<<g82j6=44}c600?6=;3:1<v*=8g81=1=O:0?0D?7?;ha`>5<<a8?36=44o0:b>5<<uk>897>54;294~"50o0:485G2878L7?73`ih6=44ig594?=n::o1<75`19c94?=zj=9=6=4<:183!4?n38286F=949K6<6<aji1<75f14:94?=h91k1<75rb514>5<3290;w)<7f;3;1>N51<1C>4>4iba94?=nn>0;66g=3d83>>i60h0;66sm42:94?5=83:p(?6i:3;7?M4>=2B95=5fcb83>>o6=10;66a>8`83>>{e<:31<7:50;2x 7>a282>7E<65:J1=5=nkj0;66gi7;29?l44m3:17b?7a;29?xd3;h0;6>4?:1y'6=`=:0>0D?7:;I0:4>odk3:17d?:8;29?j7?i3:17pl;3c83>1<729q/>5h51978L7?23A82<6glc;29?l`02900e?=j:188k4>f2900qo:<c;297?6=8r.94k4=959K6<3<@;3;7dml:188m43?2900c<6n:188yg24l3:187>50z&1<c<60<1C>4;4H3;3?led2900ek950;9j66c=831d=5o50;9~f15b29086=4?{%0;b?4><2B9585G2828mfe=831b=8650;9l5=g=831vn9=i:187>5<7s-83j7?75:J1=0=O:0:0enm50;9jb2<722c9?h4?::m2<d<722wi89>50;194?6|,;2m6?7;;I0:1>N5191bon4?::k21=<722e:4l4?::a017=83>1<7>t$3:e>4>23A8296F=919jgf<722cm;7>5;h00a?6=3f;3m7>5;|`707<72:0;6=u+29d96<2<@;3>7E<60:k`g?6=3`;>47>5;n3;e?6=3th?8>4?:583>5}#:1l1=5;4H3;6?M4>82cho7>5;hd4>5<<a;9n6=44o0:b>5<<uk>?87>53;294~"50o09595G2878L7?73`ih6=44i07;>5<<g82j6=44}c671?6=<3:1<v*=8g82<0=O:0?0D?7?;ha`>5<<ao=1<75f22g94?=h91k1<75rb565>5<4290;w)<7f;0:0>N51<1C>4>4iba94?=n9<21<75`19c94?=zj=><6=4;:183!4?n3;396F=949K6<6<aji1<75ff683>>o5;l0;66a>8`83>>{e<=21<7=50;2x 7>a2;3?7E<65:J1=5=nkj0;66g>5983>>i60h0;66sm45;94?2=83:p(?6i:0:6?M4>=2B95=5fcb83>>oa?3:17d<<e;29?j7?i3:17pl;4`83>6<729q/>5h52868L7?23A82<6glc;29?l7203:17b?7a;29?xd3<k0;694?:1y'6=`=91?0D?7:;I0:4>odk3:17dh8:188m75b2900c<6n:188yg23k3:1?7>50z&1<c<51=1C>4;4H3;3?led2900e<;7:188k4>f2900qo:;d;290?6=8r.94k4>849K6<3<@;3;7dml:188mc1=831b>>k50;9l5=g=831vn9:j:180>5<7s-83j7<64:J1=0=O:0:0enm50;9j50>=831d=5o50;9~f12a290?6=4?{%0;b?7?=2B9585G2828mfe=831bj:4?::k17`<722e:4l4?::a006=8391<7>t$3:e>7?33A8296F=919jgf<722c:954?::m2<d<722wi88?50;694?6|,;2m6<6:;I0:1>N5191bon4?::ke3?6=3`88i7>5;n3;e?6=3th?9?4?:283>5}#:1l1>4:4H3;6?M4>82cho7>5;h36<?6=3f;3m7>5;|`716<72=0;6=u+29d95=3<@;3>7E<60:k`g?6=3`l<6=44i31f>5<<g82j6=44}c660?6=;3:1<v*=8g81=1=O:0?0D?7?;ha`>5<<a8?36=44o0:b>5<<uk>>97>54;294~"50o0:485G2878L7?73`ih6=44ig594?=n::o1<75`19c94?=zj=?=6=4<:183!4?n38286F=949K6<6<aji1<75f14:94?=h91k1<75rb574>5<3290;w)<7f;3;1>N51<1C>4>4iba94?=nn>0;66g=3d83>>i60h0;66sm44:94?5=83:p(?6i:3;7?M4>=2B95=5fcb83>>o6=10;66a>8`83>>{e<<31<7:50;2x 7>a282>7E<65:J1=5=nkj0;66gi7;29?l44m3:17b?7a;29?xd3=h0;6>4?:1y'6=`=:0>0D?7:;I0:4>odk3:17d?:8;29?j7?i3:17pl;5c83>1<729q/>5h51978L7?23A82<6glc;29?l`02900e?=j:188k4>f2900qo::c;297?6=8r.94k4=959K6<3<@;3;7dml:188m43?2900c<6n:188yg22l3:187>50z&1<c<60<1C>4;4H3;3?led2900ek950;9j66c=831d=5o50;9~f13b29086=4?{%0;b?4><2B9585G2828mfe=831b=8650;9l5=g=831vn9;i:187>5<7s-83j7?75:J1=0=O:0:0enm50;9jb2<722c9?h4?::m2<d<722wi8;>50;194?6|,;2m6?7;;I0:1>N5191bon4?::k21=<722e:4l4?::a037=83>1<7>t$3:e>4>23A8296F=919jgf<722cm;7>5;h00a?6=3f;3m7>5;|`727<72=0;6=u+29d95=3<@;3>7E<60:k`g?6=3`l<6=44i31f>5<<g82j6=44}c657?6==3:1<v*=8g820`=O:0?0D?7?;%362?2<a131<75fc383>>ob;3:17d<<e;29?j44:3:17pl;6583>0<729q/>5h515f8L7?23A82<6*>5781?l>>2900en<50;9ja6<722c9?94?::m177<722wi8;;50;794?6|,;2m6<:k;I0:1>N5191/=8852:k;=?6=3`i96=44id194?=n::>1<75`22094?=zj=<=6=4::183!4?n3;?h6F=949K6<6<,8?=6?5f8883>>od:3:17dk<:188m7532900c?==:188yg21?3:197>50z&1<c<6<m1C>4;4H3;3?!72>380e5750;9jg7<722cn?7>5;h000?6=3f88>7>5;|`72=<72<0;6=u+29d951b<@;3>7E<60:&213<53`226=44ib094?=nm:0;66g=3583>>i5;;0;66sm47;94?3=83:p(?6i:06g?M4>=2B95=5+14496>o?13:17dm=:188m`5=831b>>:50;9l664=831vn98n:186>5<7s-83j7?;d:J1=0=O:0:0(<;9:39j<<<722ch>7>5;hg0>5<<a;9?6=44o311>5<<uk>=n7>55;294~"50o0:8i5G2878L7?73-;>:7<4i9;94?=nk;0;66gj3;29?l44<3:17b<<2;29?xd3>j0;684?:1y'6=`=9=n0D?7:;I0:4>"6=?097d66:188mf4=831bi>4?::k171<722e9??4?::a03b=83?1<7>t$3:e>42c3A8296F=919'500=:2c357>5;ha1>5<<al91<75f22694?=h::81<75rb54f>5<2290;w)<7f;37`>N51<1C>4>4$075>7=n000;66gl2;29?lc42900e?=;:188k7552900qo:9f;291?6=8r.94k4>4e9K6<3<@;3;7)?:6;08m=?=831bo?4?::kf7?6=3`8887>5;n006?6=3th?;=4?:483>5}#:1l1=9j4H3;6?M4>82.:9;4=;h::>5<<aj81<75fe283>>o5;=0;66a=3383>>{e<>;1<7;50;2x 7>a28>o7E<65:J1=5=#9<<1>6g79;29?le52900eh=50;9j662=831d>><50;9~f115290>6=4?{%0;b?73l2B9585G2828 4312;1b444?::k`6?6=3`o86=44i317>5<<g;996=44}c647?6==3:1<v*=8g820a=O:0?0D?7?;%362?4<a131<75fc383>>ob;3:17d<<4;29?j44:3:17pl;7583>0<729q/>5h515f8L7?23A82<6*>5781?l>>2900en<50;9ja6<722c9?94?::m177<722wi8:;50;794?6|,;2m6<:k;I0:1>N5191/=8852:k;=?6=3`i96=44id194?=n::>1<75`22094?=zj===6=4::183!4?n3;?h6F=949K6<6<,8?=6?5f8883>>od:3:17dk<:188m7532900c?==:188yg20?3:197>50z&1<c<6<m1C>4;4H3;3?!72>380e5750;9jg7<722cn?7>5;h000?6=3f88>7>5;|`73=<72<0;6=u+29d951b<@;3>7E<60:&213<53`226=44ib094?=nm:0;66g=3583>>i5;;0;66sm46;94?3=83:p(?6i:06g?M4>=2B95=5+14496>o?13:17dm=:188m`5=831b>>:50;9l664=831vn99n:186>5<7s-83j7?;d:J1=0=O:0:0(<;9:39j<<<722ch>7>5;hg0>5<<a;9?6=44o311>5<<uk><n7>55;294~"50o0:8i5G2878L7?73-;>:7<4i9;94?=nk;0;66gj3;29?l44<3:17b<<2;29?xd3?j0;684?:1y'6=`=9=n0D?7:;I0:4>"6=?097d66:188mf4=831bi>4?::k171<722e9??4?::a02b=83?1<7>t$3:e>42c3A8296F=919'500=:2c357>5;ha1>5<<al91<75f22694?=h::81<75rb55f>5<2290;w)<7f;37`>N51<1C>4>4$075>7=n000;66gl2;29?lc42900e?=;:188k7552900qo:8f;291?6=8r.94k4>4e9K6<3<@;3;7)?:6;08m=?=831bo?4?::kf7?6=3`8887>5;n006?6=3th?4=4?:483>5}#:1l1=9j4H3;6?M4>82.:9;4=;h::>5<<aj81<75fe283>>o5;=0;66a=3383>>{e<1;1<7;50;2x 7>a28>o7E<65:J1=5=#9<<1>6g79;29?le52900eh=50;9j662=831d>><50;9~f1>5290>6=4?{%0;b?73l2B9585G2828 4312;1b444?::k`6?6=3`o86=44i317>5<<g;996=44}c6;7?6==3:1<v*=8g820a=O:0?0D?7?;%362?4<a131<75fc383>>ob;3:17d<<4;29?j44:3:17pl;8583>0<729q/>5h515f8L7?23A82<6*>5781?l>>2900en<50;9ja6<722c9?94?::m177<722wi85;50;794?6|,;2m6<:k;I0:1>N5191/=8852:k;=?6=3`i96=44id194?=n::>1<75`22094?=zj=2=6=4::183!4?n3;?h6F=949K6<6<,8?=6?5f8883>>od:3:17dk<:188m7532900c?==:188yg2??3:197>50z&1<c<6<m1C>4;4H3;3?!72>380e5750;9jg7<722cn?7>5;h000?6=3f88>7>5;|`7<=<72<0;6=u+29d951b<@;3>7E<60:&213<53`226=44ib094?=nm:0;66g=3583>>i5;;0;66sm49;94?3=83:p(?6i:06g?M4>=2B95=5+14496>o?13:17dm=:188m`5=831b>>:50;9l664=831vn96n:186>5<7s-83j7?;d:J1=0=O:0:0(<;9:39j<<<722ch>7>5;hg0>5<<a;9?6=44o311>5<<uk>3n7>55;294~"50o0:8i5G2878L7?73-;>:7<4i9;94?=nk;0;66gj3;29?l44<3:17b<<2;29?xd30j0;684?:1y'6=`=9=n0D?7:;I0:4>"6=?097d66:188mf4=831bi>4?::k171<722e9??4?::a0=b=83?1<7>t$3:e>42c3A8296F=919'500=:2c357>5;ha1>5<<al91<75f22694?=h::81<75rb5:f>5<2290;w)<7f;37`>N51<1C>4>4$075>7=n000;66gl2;29?lc42900e?=;:188k7552900qo:7f;291?6=8r.94k4>4e9K6<3<@;3;7)?:6;08m=?=831bo?4?::kf7?6=3`8887>5;n006?6=3th?5=4?:483>5}#:1l1=9j4H3;6?M4>82.:9;4=;h::>5<<aj81<75fe283>>o5;=0;66a=3383>>{e<0;1<7;50;2x 7>a28>o7E<65:J1=5=#9<<1>6g79;29?le52900eh=50;9j662=831d>><50;9~f1?5290>6=4?{%0;b?73l2B9585G2828 4312;1b444?::k`6?6=3`o86=44i317>5<<g;996=44}c6:7?6==3:1<v*=8g820a=O:0?0D?7?;%362?4<a131<75fc383>>ob;3:17d<<4;29?j44:3:17pl;9583>0<729q/>5h515f8L7?23A82<6*>5781?l>>2900en<50;9ja6<722c9?94?::m177<722wi84;50;794?6|,;2m6<:k;I0:1>N5191/=8852:k;=?6=3`i96=44id194?=n::>1<75`22094?=zj=3=6=4::183!4?n3;?h6F=949K6<6<,8?=6?5f8883>>od:3:17dk<:188m7532900c?==:188yg2>?3:197>50z&1<c<6<m1C>4;4H3;3?!72>380e5750;9jg7<722cn?7>5;h000?6=3f88>7>5;|`7==<72<0;6=u+29d951b<@;3>7E<60:&213<53`226=44ib094?=nm:0;66g=3583>>i5;;0;66sm48;94?3=83:p(?6i:06g?M4>=2B95=5+14496>o?13:17dm=:188m`5=831b>>:50;9l664=831vn97n:186>5<7s-83j7?;d:J1=0=O:0:0(<;9:39j<<<722ch>7>5;hg0>5<<a;9?6=44o311>5<<uk>2n7>55;294~"50o0:8i5G2878L7?73-;>:7<4i9;94?=nk;0;66gj3;29?l44<3:17b<<2;29?xd31j0;684?:1y'6=`=9=n0D?7:;I0:4>"6=?097d66:188mf4=831bi>4?::k171<722e9??4?::a0<b=83?1<7>t$3:e>42c3A8296F=919'500=:2c357>5;ha1>5<<al91<75f22694?=h::81<75rb5;f>5<2290;w)<7f;37`>N51<1C>4>4$075>7=n000;66gl2;29?lc42900e?=;:188k7552900qo:6f;291?6=8r.94k4>4e9K6<3<@;3;7)?:6;08m=?=831bo?4?::kf7?6=3`8887>5;n006?6=3th?m=4?:483>5}#:1l1=9j4H3;6?M4>82.:9;4=;h::>5<<aj81<75fe283>>o5;=0;66a=3383>>{e<h;1<7;50;2x 7>a28>o7E<65:J1=5=#9<<1>6g79;29?le52900eh=50;9j662=831d>><50;9~f1g5290>6=4?{%0;b?73l2B9585G2828 4312;1b444?::k`6?6=3`o86=44i317>5<<g;996=44}c6b7?6==3:1<v*=8g820a=O:0?0D?7?;%362?4<a131<75fc383>>ob;3:17d<<4;29?j44:3:17pl;a583>0<729q/>5h515f8L7?23A82<6*>5781?l>>2900en<50;9ja6<722c9?94?::m177<722wi8l;50;794?6|,;2m6<:k;I0:1>N5191/=8852:k;=?6=3`i96=44id194?=n::>1<75`22094?=zj=k=6=4::183!4?n3;?h6F=949K6<6<,8?=6?5f8883>>od:3:17dk<:188m7532900c?==:188yg2f?3:197>50z&1<c<6<m1C>4;4H3;3?!72>380e5750;9jg7<722cn?7>5;h000?6=3f88>7>5;|`7e=<72<0;6=u+29d951b<@;3>7E<60:&213<53`226=44ib094?=nm:0;66g=3583>>i5;;0;66sm4`;94?3=83:p(?6i:06g?M4>=2B95=5+14496>o?13:17dm=:188m`5=831b>>:50;9l664=831vn9on:186>5<7s-83j7?;d:J1=0=O:0:0(<;9:39j<<<722ch>7>5;hg0>5<<a;9?6=44o311>5<<uk>jn7>55;294~"50o0:8i5G2878L7?73-;>:7<4i9;94?=nk;0;66gj3;29?l44<3:17b<<2;29?xd3ij0;684?:1y'6=`=9=n0D?7:;I0:4>"6=?097d66:188mf4=831bi>4?::k171<722e9??4?::a0db=83?1<7>t$3:e>42c3A8296F=919'500=:2c357>5;ha1>5<<al91<75f22694?=h::81<75rb5cf>5<2290;w)<7f;37`>N51<1C>4>4$075>7=n000;66gl2;29?lc42900e?=;:188k7552900qo:nf;291?6=8r.94k4>4e9K6<3<@;3;7)?:6;08m=?=831bo?4?::kf7?6=3`8887>5;n006?6=3th?n=4?:483>5}#:1l1=9j4H3;6?M4>82.:9;4=;h::>5<<aj81<75fe283>>o5;=0;66a=3383>>{e<k;1<7;50;2x 7>a28>o7E<65:J1=5=#9<<1>6g79;29?le52900eh=50;9j662=831d>><50;9~f1d5290>6=4?{%0;b?73l2B9585G2828 4312;1b444?::k`6?6=3`o86=44i317>5<<g;996=44}c6a7?6==3:1<v*=8g820a=O:0?0D?7?;%362?4<a131<75fc383>>ob;3:17d<<4;29?j44:3:17pl;b583>0<729q/>5h515f8L7?23A82<6*>5781?l>>2900en<50;9ja6<722c9?94?::m177<722wi8o;50;794?6|,;2m6<:k;I0:1>N5191/=8852:k;=?6=3`i96=44id194?=n::>1<75`22094?=zj=h=6=4::183!4?n3;?h6F=949K6<6<,8?=6?5f8883>>od:3:17dk<:188m7532900c?==:188yg2e?3:197>50z&1<c<6<m1C>4;4H3;3?!72>380e5750;9jg7<722cn?7>5;h000?6=3f88>7>5;|`7f=<72<0;6=u+29d951b<@;3>7E<60:&213<53`226=44ib094?=nm:0;66g=3583>>i5;;0;66sm4c;94?3=83:p(?6i:06g?M4>=2B95=5+14496>o?13:17dm=:188m`5=831b>>:50;9l664=831vn9ln:186>5<7s-83j7?;d:J1=0=O:0:0(<;9:39j<<<722ch>7>5;hg0>5<<a;9?6=44o311>5<<uk>in7>55;294~"50o0:8i5G2878L7?73-;>:7<4i9;94?=nk;0;66gj3;29?l44<3:17b<<2;29?xd3jj0;684?:1y'6=`=9=n0D?7:;I0:4>"6=?097d66:188mf4=831bi>4?::k171<722e9??4?::a0gb=83?1<7>t$3:e>42c3A8296F=919'500=:2c357>5;ha1>5<<al91<75f22694?=h::81<75rb5`f>5<2290;w)<7f;37`>N51<1C>4>4$075>7=n000;66gl2;29?lc42900e?=;:188k7552900qo:mf;291?6=8r.94k4>4e9K6<3<@;3;7)?:6;08m=?=831bo?4?::kf7?6=3`8887>5;n006?6=3th?o=4?:483>5}#:1l1=9j4H3;6?M4>82.:9;4=;h::>5<<aj81<75fe283>>o5;=0;66a=3383>>{e<j;1<7;50;2x 7>a28>o7E<65:J1=5=#9<<1>6g79;29?le52900eh=50;9j662=831d>><50;9~f1e5290>6=4?{%0;b?73l2B9585G2828 4312;1b444?::k`6?6=3`o86=44i317>5<<g;996=44}c6`7?6==3:1<v*=8g820a=O:0?0D?7?;%362?4<a131<75fc383>>ob;3:17d<<4;29?j44:3:17pl;c583>0<729q/>5h515f8L7?23A82<6*>5781?l>>2900en<50;9ja6<722c9?94?::m177<722wi8n;50;794?6|,;2m6<:k;I0:1>N5191/=8852:k;=?6=3`i96=44id194?=n::>1<75`22094?=zj=i=6=4::183!4?n3;?h6F=949K6<6<,8?=6?5f8883>>od:3:17dk<:188m7532900c?==:188yg2d?3:197>50z&1<c<6<m1C>4;4H3;3?!72>380e5750;9jg7<722cn?7>5;h000?6=3f88>7>5;|`7g=<72<0;6=u+29d951b<@;3>7E<60:&213<53`226=44ib094?=nm:0;66g=3583>>i5;;0;66sm4b;94?3=83:p(?6i:06g?M4>=2B95=5+14496>o?13:17dm=:188m`5=831b>>:50;9l664=831vn9mn:186>5<7s-83j7?;d:J1=0=O:0:0(<;9:39j<<<722ch>7>5;hg0>5<<a;9?6=44o311>5<<uk>hn7>55;294~"50o0:8i5G2878L7?73-;>:7<4i9;94?=nk;0;66gj3;29?l44<3:17b<<2;29?xd3kj0;684?:1y'6=`=9=n0D?7:;I0:4>"6=?097d66:188mf4=831bi>4?::k171<722e9??4?::a0fb=83?1<7>t$3:e>42c3A8296F=919'500=:2c357>5;ha1>5<<al91<75f22694?=h::81<75rb5af>5<2290;w)<7f;37`>N51<1C>4>4$075>7=n000;66gl2;29?lc42900e?=;:188k7552900qo:lf;291?6=8r.94k4>4e9K6<3<@;3;7)?:6;08m=?=831bo?4?::kf7?6=3`8887>5;n006?6=3th?h=4?:483>5}#:1l1=9j4H3;6?M4>82.:9;4=;h::>5<<aj81<75fe283>>o5;=0;66a=3383>>{e<m;1<7;50;2x 7>a28>o7E<65:J1=5=#9<<1>6g79;29?le52900eh=50;9j662=831d>><50;9~f1b5290>6=4?{%0;b?73l2B9585G2828 4312;1b444?::k`6?6=3`o86=44i317>5<<g;996=44}c6g7?6==3:1<v*=8g820a=O:0?0D?7?;%362?4<a131<75fc383>>ob;3:17d<<4;29?j44:3:17pl;d583>0<729q/>5h515f8L7?23A82<6*>5781?l>>2900en<50;9ja6<722c9?94?::m177<722wi8i;50;794?6|,;2m6<:k;I0:1>N5191/=8852:k;=?6=3`i96=44id194?=n::>1<75`22094?=zj=n=6=4::183!4?n3;?h6F=949K6<6<,8?=6?5f8883>>od:3:17dk<:188m7532900c?==:188yg2c?3:197>50z&1<c<6<m1C>4;4H3;3?!72>380e5750;9jg7<722cn?7>5;h000?6=3f88>7>5;|`7`=<72:0;6=u+29d950e<@;3>7E<60:&213<5>2c:9?4?::k216<722e:4l4?::a0a?=8391<7>t$3:e>43d3A8296F=919'500=:?1b=8<50;9j505=831d=5o50;9~f1bf29086=4?{%0;b?72k2B9585G2828 4312;<0e<;=:188m4342900c<6n:188yg2cj3:1?7>50z&1<c<6=j1C>4;4H3;3?!72>38=7d?:2;29?l72;3:17b?7a;29?xd3lj0;6>4?:1y'6=`=9<i0D?7:;I0:4>"6=?09:6g>5383>>o6=:0;66a>8`83>>{e<mn1<7=50;2x 7>a28?h7E<65:J1=5=#9<<1>;5f14094?=n9<91<75`19c94?=zj=nn6=4<:183!4?n3;>o6F=949K6<6<,8?=6?84i071>5<<a8?86=44o0:b>5<<uk>oj7>53;294~"50o0:9n5G2878L7?73-;>:7<9;h366?6=3`;>?7>5;n3;e?6=3th?i=4?:283>5}#:1l1=8m4H3;6?M4>82.:9;4=6:k217<722c:9>4?::m2<d<722wi8h?50;194?6|,;2m6<;l;I0:1>N5191/=885279j504=831b=8=50;9l5=g=831vn9k=:180>5<7s-83j7?:c:J1=0=O:0:0(<;9:348m4352900e<;<:188k4>f2900qo:j3;297?6=8r.94k4>5b9K6<3<@;3;7)?:6;05?l72:3:17d?:3;29?j7?i3:17pl;e583>6<729q/>5h514a8L7?23A82<6*>57812>o6=;0;66g>5283>>i60h0;66sm4d794?5=83:p(?6i:07`?M4>=2B95=5+144963=n9<81<75f14194?=h91k1<75rb5g5>5<4290;w)<7f;36g>N51<1C>4>4$075>70<a8?96=44i070>5<<g82j6=44}c6f3?6=;3:1<v*=8g821f=O:0?0D?7?;%362?413`;>>7>5;h367?6=3f;3m7>5;|`7a=<72:0;6=u+29d950e<@;3>7E<60:&213<5>2c:9?4?::k216<722e:4l4?::a0`?=8391<7>t$3:e>43d3A8296F=919'500=:?1b=8<50;9j505=831d=5o50;9~f1cf29086=4?{%0;b?72k2B9585G2828 4312;<0e<;=:188m4342900c<6n:188yg2bj3:1?7>50z&1<c<6=j1C>4;4H3;3?!72>38=7d?:2;29?l72;3:17b?7a;29?xd3mj0;6>4?:1y'6=`=9<i0D?7:;I0:4>"6=?09:6g>5383>>o6=:0;66a>8`83>>{e<ln1<7=50;2x 7>a28?h7E<65:J1=5=#9<<1>;5f14094?=n9<91<75`19c94?=zj=on6=4<:183!4?n3;>o6F=949K6<6<,8?=6?84i071>5<<a8?86=44o0:b>5<<uk>nj7>53;294~"50o0:9n5G2878L7?73-;>:7<9;h366?6=3`;>?7>5;n3;e?6=3th?j=4?:283>5}#:1l1=8m4H3;6?M4>82.:9;4=6:k217<722c:9>4?::m2<d<722wi8k?50;194?6|,;2m6<;l;I0:1>N5191/=885279j504=831b=8=50;9l5=g=831vn9h=:180>5<7s-83j7?:c:J1=0=O:0:0(<;9:348m4352900e<;<:188k4>f2900qo:i3;297?6=8r.94k4>5b9K6<3<@;3;7)?:6;05?l72:3:17d?:3;29?j7?i3:17pl;f583>6<729q/>5h514a8L7?23A82<6*>57812>o6=;0;66g>5283>>i60h0;66sm4g794?5=83:p(?6i:07`?M4>=2B95=5+144963=n9<81<75f14194?=h91k1<75rb5d5>5<4290;w)<7f;36g>N51<1C>4>4$075>70<a8?96=44i070>5<<g82j6=44}c6e3?6=;3:1<v*=8g821f=O:0?0D?7?;%362?413`;>>7>5;h367?6=3f;3m7>5;|`7b=<72:0;6=u+29d950e<@;3>7E<60:&213<5>2c:9?4?::k216<722e:4l4?::a0c?=8391<7>t$3:e>43d3A8296F=919'500=:?1b=8<50;9j505=831d=5o50;9~f1`f29086=4?{%0;b?72k2B9585G2828 4312;<0e<;=:188m4342900c<6n:188yg2aj3:1?7>50z&1<c<6=j1C>4;4H3;3?!72>38=7d?:2;29?l72;3:17b?7a;29?xd3nj0;6>4?:1y'6=`=9<i0D?7:;I0:4>"6=?0:<6g>5383>>o6=:0;66a>8`83>>{e<on1<7=50;2x 7>a28?h7E<65:J1=5=#9<<1==5f14094?=n9<91<75`19c94?=zj=ln6=4<:183!4?n3;>o6F=949K6<6<,8?=6<>4i071>5<<a8?86=44o0:b>5<<uk>mj7>53;294~"50o0:9n5G2878L7?73-;>:7??;h366?6=3`;>?7>5;n3;e?6=3th><=4?:283>5}#:1l1=8m4H3;6?M4>82.:9;4>0:k217<722c:9>4?::m2<d<722wi9=?50;194?6|,;2m6<;l;I0:1>N5191/=885119j504=831b=8=50;9l5=g=831vn8>=:180>5<7s-83j7?:c:J1=0=O:0:0(<;9:028m4352900e<;<:188k4>f2900qo;?3;297?6=8r.94k4>5b9K6<3<@;3;7)?:6;33?l72:3:17d?:3;29?j7?i3:17pl:0583>6<729q/>5h514a8L7?23A82<6*>57824>o6=;0;66g>5283>>i60h0;66sm51794?5=83:p(?6i:07`?M4>=2B95=5+144955=n9<81<75f14194?=h91k1<75rb425>5<4290;w)<7f;36g>N51<1C>4>4$075>46<a8?96=44i070>5<<g82j6=44}c733?6=;3:1<v*=8g821f=O:0?0D?7?;%362?773`;>>7>5;h367?6=3f;3m7>5;|`64=<72:0;6=u+29d950e<@;3>7E<60:&213<682c:9?4?::k216<722e:4l4?::a15?=8391<7>t$3:e>43d3A8296F=919'500=991b=8<50;9j505=831d=5o50;9~f06f29086=4?{%0;b?72k2B9585G2828 43128:0e<;=:188m4342900c<6n:188yg37j3:1?7>50z&1<c<6=j1C>4;4H3;3?!72>3;;7d?:2;29?l72;3:17b?7a;29?xd28j0;6>4?:1y'6=`=9<i0D?7:;I0:4>"6=?0:<6g>5383>>o6=:0;66a>8`83>>{e=9n1<7=50;2x 7>a28?h7E<65:J1=5=#9<<1==5f14094?=n9<91<75`19c94?=zj<:n6=4<:183!4?n3;>o6F=949K6<6<,8?=6<>4i071>5<<a8?86=44o0:b>5<<uk?;j7>53;294~"50o0:9n5G2878L7?73-;>:7??;h366?6=3`;>?7>5;n3;e?6=3th>==4?:283>5}#:1l1=8m4H3;6?M4>82.:9;4>0:k217<722c:9>4?::m2<d<722wi9<?50;194?6|,;2m6<;l;I0:1>N5191/=885119j504=831b=8=50;9l5=g=831vn8?=:180>5<7s-83j7?:c:J1=0=O:0:0(<;9:028m4352900e<;<:188k4>f2900qo;>3;297?6=8r.94k4>5b9K6<3<@;3;7)?:6;33?l72:3:17d?:3;29?j7?i3:17pl:1583>6<729q/>5h514a8L7?23A82<6*>57824>o6=;0;66g>5283>>i60h0;66sm50794?5=83:p(?6i:07`?M4>=2B95=5+144955=n9<81<75f14194?=h91k1<75rb435>5<4290;w)<7f;36g>N51<1C>4>4$075>46<a8?96=44i070>5<<g82j6=44}c723?6=;3:1<v*=8g821f=O:0?0D?7?;%362?773`;>>7>5;h367?6=3f;3m7>5;|`65=<72:0;6=u+29d950e<@;3>7E<60:&213<682c:9?4?::k216<722e:4l4?::a14?=8391<7>t$3:e>43d3A8296F=919'500=991b=8<50;9j505=831d=5o50;9~f07f29086=4?{%0;b?72k2B9585G2828 43128:0e<;=:188m4342900c<6n:188yg36j3:1?7>50z&1<c<6=j1C>4;4H3;3?!72>3;;7d?:2;29?l72;3:17b?7a;29?xd29j0;6>4?:1y'6=`=9<i0D?7:;I0:4>"6=?0:<6g>5383>>o6=:0;66a>8`83>>{e=8n1<7=50;2x 7>a28?h7E<65:J1=5=#9<<1==5f14094?=n9<91<75`19c94?=zj<;n6=4<:183!4?n3;>o6F=949K6<6<,8?=6<>4i071>5<<a8?86=44o0:b>5<<uk?:j7>55;294~"50o0:9h5G2878L7?73-;>:7:9;h366?6=3`;>?7>5;h360?6=3`;>97>5;n3;e?6=3th>>=4?:283>5}#:1l1=8m4H3;6?M4>82.:9;4;;h366?6=3`;>?7>5;n3;e?6=3th>><4?:483>5}#:1l1=8k4H3;6?M4>82.:9;4;6:k217<722c:9>4?::k211<722c:984?::m2<d<722wi9?<50;794?6|,;2m6<;j;I0:1>N5191/=885479j504=831b=8=50;9j502=831b=8;50;9l5=g=831vn8<<:186>5<7s-83j7?:e:J1=0=O:0:0(<;9:548m4352900e<;<:188m4332900e<;::188k4>f2900qo;=4;291?6=8r.94k4>5d9K6<3<@;3;7)?:6;65?l72:3:17d?:3;29?l72<3:17d?:5;29?j7?i3:17pl:2483>0<729q/>5h514g8L7?23A82<6*>57872>o6=;0;66g>5283>>o6==0;66g>5483>>i60h0;66sm53494?3=83:p(?6i:07f?M4>=2B95=5+144903=n9<81<75f14194?=n9<>1<75f14794?=h91k1<75rb404>5<2290;w)<7f;36a>N51<1C>4>4$075>10<a8?96=44i070>5<<a8??6=44i076>5<<g82j6=44}c71<?6=;3:1<v*=8g821f=O:0?0D?7?;%362?773`;>>7>5;h367?6=3f;3m7>5;|`66<<72<0;6=u+29d950c<@;3>7E<60:&213<3>2c:9?4?::k216<722c:994?::k210<722e:4l4?::a17g=83?1<7>t$3:e>43b3A8296F=919'500=<?1b=8<50;9j505=831b=8:50;9j503=831d=5o50;9~f04e290>6=4?{%0;b?72m2B9585G2828 4312=<0e<;=:188m4342900e<;;:188m4322900c<6n:188yg35k3:197>50z&1<c<6=l1C>4;4H3;3?!72>3>=7d?:2;29?l72;3:17d?:4;29?l72=3:17b?7a;29?xd2:m0;684?:1y'6=`=9<o0D?7:;I0:4>"6=?0?:6g>5383>>o6=:0;66g>5583>>o6=<0;66a>8`83>>{e=;o1<7;50;2x 7>a28?n7E<65:J1=5=#9<<1>h5f14094?=n9<91<75f14694?=n9<?1<75`19c94?=zj<8m6=4::183!4?n3;>i6F=949K6<6<,8?=6?k4i071>5<<a8?86=44i077>5<<a8?>6=44o0:b>5<<uk?8<7>55;294~"50o0:9h5G2878L7?73-;>:7<j;h366?6=3`;>?7>5;h360?6=3`;>97>5;n3;e?6=3th>?<4?:483>5}#:1l1=8k4H3;6?M4>82.:9;4;6:k217<722c:9>4?::k211<722c:984?::m2<d<722wi9><50;794?6|,;2m6<;j;I0:1>N5191/=885479j504=831b=8=50;9j502=831b=8;50;9l5=g=831vn8=<:186>5<7s-83j7?:e:J1=0=O:0:0(<;9:548m4352900e<;<:188m4332900e<;::188k4>f2900qo;<4;291?6=8r.94k4>5d9K6<3<@;3;7)?:6;65?l72:3:17d?:3;29?l72<3:17d?:5;29?j7?i3:17pl:3483>0<729q/>5h514g8L7?23A82<6*>57872>o6=;0;66g>5283>>o6==0;66g>5483>>i60h0;66sm52494?3=83:p(?6i:07f?M4>=2B95=5+144903=n9<81<75f14194?=n9<>1<75f14794?=h91k1<75rb414>5<2290;w)<7f;36a>N51<1C>4>4$075>10<a8?96=44i070>5<<a8??6=44i076>5<<g82j6=44}c70<?6==3:1<v*=8g821`=O:0?0D?7?;%362?213`;>>7>5;h367?6=3`;>87>5;h361?6=3f;3m7>5;|`67<<72<0;6=u+29d950c<@;3>7E<60:&213<3>2c:9?4?::k216<722c:994?::k210<722e:4l4?::a16g=83?1<7>t$3:e>43b3A8296F=919'500=<?1b=8<50;9j505=831b=8:50;9j503=831d=5o50;9~f05e290>6=4?{%0;b?72m2B9585G2828 4312=<0e<;=:188m4342900e<;;:188m4322900c<6n:188yg34k3:197>50z&1<c<6=l1C>4;4H3;3?!72>3>=7d?:2;29?l72;3:17d?:4;29?l72=3:17b?7a;29?xd2;m0;684?:1y'6=`=9<o0D?7:;I0:4>"6=?0?:6g>5383>>o6=:0;66g>5583>>o6=<0;66a>8`83>>{e=:o1<7;50;2x 7>a28?n7E<65:J1=5=#9<<18;5f14094?=n9<91<75f14694?=n9<?1<75`19c94?=zj<9m6=4::183!4?n3;>i6F=949K6<6<,8?=6984i071>5<<a8?86=44i077>5<<a8?>6=44o0:b>5<<uk??<7>55;294~"50o0:9h5G2878L7?73-;>:7:9;h366?6=3`;>?7>5;h360?6=3`;>97>5;n3;e?6=3th>8<4?:483>5}#:1l1=8k4H3;6?M4>82.:9;4;6:k217<722c:9>4?::k211<722c:984?::m2<d<722wi99<50;794?6|,;2m6<;j;I0:1>N5191/=885479j504=831b=8=50;9j502=831b=8;50;9l5=g=831vn8:<:186>5<7s-83j7?:e:J1=0=O:0:0(<;9:b38m4352900e<;<:188m4332900e<;::188k4>f2900qo;;4;297?6=8r.94k4>5b9K6<3<@;3;7)?:6;33?l72:3:17d?:3;29?j7?i3:17pl:4483>6<729q/>5h514a8L7?23A82<6*>57873>o6=;0;66g>5283>>i60h0;66sm55494?3=83:p(?6i:06g?M4>=2B95=5+14496>o?13:17dm=:188m`5=831b>>:50;9l664=831vn8:8:186>5<7s-83j7?;d:J1=0=O:0:0(<;9:39j<<<722ch>7>5;hg0>5<<a;9?6=44o311>5<<uk??47>55;294~"50o0:8h5G2878L7?73-;>:7:4i9;94?=nk;0;66gj3;29?l44m3:17b<<2;29?xd2<00;684?:1y'6=`=9=n0D?7:;I0:4>"6=?097d66:188mf4=831bi>4?::k171<722e9??4?::a11g=83?1<7>t$3:e>42c3A8296F=919'500=:2c357>5;ha1>5<<al91<75f22694?=h::81<75rb46a>5<2290;w)<7f;37a>N51<1C>4>4$075>1=n000;66gl2;29?lc42900e?=j:188k7552900qo;;c;291?6=8r.94k4>4e9K6<3<@;3;7)?:6;08m=?=831bo?4?::kf7?6=3`8887>5;n006?6=3th>8i4?:483>5}#:1l1=9j4H3;6?M4>82.:9;4=;h::>5<<aj81<75fe283>>o5;=0;66a=3383>>{e==o1<7<50;2x 7>a28?<7E<65:J1=5=n9<;1<75`19c94?=zj<>m6=4=:183!4?n3;>;6F=949K6<6<a8?:6=44o0:b>5<<uk?><7>52;294~"50o0:9:5G2878L7?73`;>=7>5;n3;e?6=3th>9<4?:383>5}#:1l1=894H3;6?M4>82c:9<4?::m2<d<722wi98<50;;94?6|,;2m6?6m;I0:1>N5191/=8853:k1<?6=3`8j6=44i3a94?=n:m0;66gl2;29?lec2900eh=50;9l664=831d>>=50;9~f034290?6=4?{%0;b?73k2B9585G2828 4312;1b444?::k`6?6=3`o86=44o311>5<<uk?>87>59;294~"50o094o5G2878L7?73-;>:7=4i3:94?=n:h0;66g=c;29?l4c2900en<50;9jga<722cn?7>5;n006?6=3f88?7>5;|`610<72=0;6=u+29d951e<@;3>7E<60:&213<53`226=44ib094?=nm:0;66a=3383>>{e=<<1<7;50;2x 7>a28>o7E<65:J1=5=n000;66gl2;29?lc42900e?=;:188k7552900qo;:7;291?6=8r.94k4>4e9K6<3<@;3;7d66:188mf4=831bi>4?::k171<722e9??4?::a10>=83?1<7>t$3:e>42c3A8296F=919j<<<722ch>7>5;hg0>5<<a;9?6=44o311>5<<uk?>57>55;294~"50o0:8i5G2878L7?73`226=44ib094?=nm:0;66g=3583>>i5;;0;66sm54c94?3=83:p(?6i:06g?M4>=2B95=5f8883>>od:3:17dk<:188m7532900c?==:188yg32j3:1?7>50z&1<c<6=j1C>4;4H3;3?!72>38=7d?:2;29?l72;3:17b?7a;29?xd2=j0;684?:1y'6=`=9=n0D?7:;I0:4>"6=?097d66:188mf4=831bi>4?::k171<722e9??4?::a10b=8391<7>t$3:e>43d3A8296F=919'500=:?1b=8<50;9j505=831d=5o50;9~f03b290>6=4?{%0;b?73l2B9585G2828 4312;1b444?::k`6?6=3`o86=44i317>5<<g;996=44}r7a`?6=99qU?i522e09555<5;n96<>>;<0g6?`a348o>7hj;<0g6?`c348o>7hl;<0g6?`e348o>7hn;<0g6?758279h?4>1g9>6a4=98o01?j=:03g?84c:3;:o63=d3825g=::m81=<74}r7aa?6=:rT8m63=d38254=z{<hm6=4={_1:?84c:3;;:6s|5b294?4|V:201?j=:g;8yv3d93:1>vP<6:?1`5<6881v8m=:181[52348o<7hi;|q6g6<72;qU?9522e29b`=z{<i?6=4={_10?84c83lo7p}:c483>7}Y;;16>i>5fb9~w0e12909wS=>;<0g4?`e3ty>o:4?:3y]75=::m:1jl5rs4a;>5<5sW>>70<k0;314>{t=j31<7<t^56897b728;m7p}:c`83>7}Y<:16>i>510g8yv3dj3:1>vP;2:?1`5<69m1v8ml:181[26348o<7?>c:p1fb=838pR9>4=3f3>47e3ty>oh4?:3y]7c=::m:1=<74}r7`b?6=:rT8i63=d18254=z{<n;6=4={_1a?84c83;;:6s|5e394?4|V;l01?j?:g;8yv3c:3:1?vP:8:?05g<69016???510;8yv3c;3:1?vP:5:?05g<69816???51038yv3c<3:1?vP:4:?05g<68?16???51148yv3c=3:1?vP:3:?05g<a1278><4i9:p1a0=839pR8?4=3f2>466348m;7??1:p1a1=839pR8>4=3f2>c`<5;l<6kh4}r7g<?6=;rT?j63=d08ea>;5n>0mi6s|5e;94?5|V=o01?j>:gf897`02on0q~;ka;297~X3l279h<4ic:?1b2<ak2wx9il50;1xZ1e<5;n:6kl4=3d4>cd<uz?oo7>53z\7f>;5l80mm63=f68ee>{t=mn1<7=t^72897b6288;70<i7;314>{t=mo1<7=t^4d897b628;m70<i7;32b>{t=ml1<7=t^4g897b628;n70<i7;32a>{t=l:1<7=t^4f897b628;o70<i7;32`>{t=l;1<7=t^4a897b628;h70<i7;32g>{t=l81<7=t^4`897b628;i70<i7;32f>{t=l91<7=t^4c897b628;270<i7;32=>{t=l>1<7=t^4;897b628;:70<i7;325>{t=l?1<7=t^44897b628:=70<i7;332>{t=l<1<7=t^5c897b62o301?h8:g;8yv3b?3:15nuQce9>6f`=km16>nk5ce9>6fb=km16>nm5ce9>6a6=km16>i?5ce9>6a4=km16>k95ce9>74d=km16???5ce9>761=km16?>75ce9>76d=km16?585ce9>7=>=km16?ll5ce9>7de=0016?lk5889>7db=00168;=5889>032=00168565889>0=1=00168585889>0=3=001685:5889>0=5=001685<5889>0=7=001685>5889>02`=00168:k5889>02b=00168:m5889>02d=00168:o5889>02?=00168:65889>021=00168:85889>023=00168::5889>025=00168:<5889>027=00168:>5889>03`=00168;k5889>03b=00168;m5889>03d=00168;o5889>03?=00168;65889>031=00168;85889>033=00168lm5889>0dd=00168lo5889>0d?=00168l65889>0d1=00168l85889>0d3=00168l:5889>0d5=00168l<5889>0d7=00168l>5889>0<`=001684k5889>0<b=001684m5889>0<d=001684o5889>0<?=00168465889>0<1=00168485889>0<3=001684:5889>0<5=001684<5889>0<7=001684>5889>0=`=001685k5889>0=b=001685m5889>0=d=001685o5889>0=?=00168o:5889>0g5=00168o<5889>0g7=00168o>5889>0d`=00168lk5889>0db=00168i95889>0a0=00168i;5889>0a2=00168i=5889>0a4=00168i?5889>0a6=00168nh5889>0fc=00168nj5889>0fe=00168nl5889>0fg=00168n75889>0f>=00168n95889>0f0=00168n;5889>0f2=00168n=5889>0f4=00168n?5889>0f6=00168oh5889>0gc=00168oj5889>0ge=00168ol5889>0gg=00168o75889>0g>=00168o95889>0g0=00168o;5889>110=00169995889>11>=00169975889>11g=001699l5889>11e=001699j5889>104=km1698=5889>102=km1698;5889>100=00169895889>10>=00169875889>10g=001698m5889>10c=001v8k7:18ba~Xd:279ok4l3:?1gc<d<279ok4l8:?1gc<d1279ok4la:?1g`<d;279oh4l4:?1g`<d0279oh4l9:?1g`<di279oi4l3:?1ga<d<279oi4l5:?1ga<d0279oi4l9:?1ga<di279on4l3:?1gf<d<279on4l5:?1gf<d0279on4l9:?1gf<di279h=4l2:?1`4<d:279h?4l2:?1b2<d:278=o4l2:?064<d:278?:4l2:?07<<d:278?o4l2:?0<3<d:278454l2:?0eg<d:278mn4l2:?0e`<d:278mi4l2:?726<d:27?:94l2:?7<=<d:27?4:4l2:?7<3<d:27?484l2:?7<1<d:27?4>4l2:?7<7<d:27?4<4l2:?7<5<d:27?;k4l2:?73`<d:27?;i4l2:?73f<d:27?;o4l2:?73d<d:27?;44l2:?73=<d:27?;:4l2:?733<d:27?;84l2:?731<d:27?;>4l2:?737<d:27?;<4l2:?735<d:27?:k4l2:?72`<d:27?:i4l2:?72f<d:27?:o4l2:?72d<d:27?:44l2:?72=<d:27?::4l2:?723<d:27?:84l2:?7ef<d:27?mo4l2:?7ed<d:27?m44l2:?7e=<d:27?m:4l2:?7e3<d:27?m84l2:?7e1<d:27?m>4l2:?7e7<d:27?m<4l2:?7e5<d:27?5k4l2:?7=`<d:27?5i4l2:?7=f<d:27?5o4l2:?7=d<d:27?544l2:?7==<d:27?5:4l2:?7=3<d:27?584l2:?7=1<d:27?5>4l2:?7=7<d:27?5<4l2:?7=5<d:27?4k4l2:?7<`<d:27?4i4l2:?7<f<d:27?4o4l2:?7<d<d:27?444l2:?7f1<d:27?n>4l2:?7f7<d:27?n<4l2:?7f5<d:27?mk4l2:?7e`<d:27?mi4l2:?7`2<d:27?h;4l2:?7`0<d:27?h94l2:?7`6<d:27?h?4l2:?7`4<d:27?h=4l2:?7gc<d:27?oh4l2:?7ga<d:27?on4l2:?7gg<d:27?ol4l2:?7g<<d:27?o54l2:?7g2<d:27?o;4l2:?7g0<d:27?o94l2:?7g6<d:27?o?4l2:?7g4<d:27?o=4l2:?7fc<d:27?nh4l2:?7fa<d:27?nn4l2:?7fg<d:27?nl4l2:?7f<<d:27?n54l2:?7f2<d:27?n;4l2:?7f0<d:27>8;4l2:?602<d:27>854l2:?60<<d:27>8l4l2:?60g<d:27>8n4l2:?60a<d:27>9?4l2:?616<d:27>994l2:?610<d:27>9;4l2:?612<d:27>954l2:?61<<d:27>9l4l2:?61f<d:27>9h4l2:p1`?=83kjwS<76:?1gc<5;<16>nh5224897ea2;9j70<lf;00f>;5ko09?n522bg9663<5;in6?=9;<0`a?44i279oh4=3c9>6fc=::i01?mk:316?84dl388:63=ce8172=::jn1>>o4=3ag>75e348hh7<<c:?1gf<5;<16>nm5224897ed2;9<70<lc;00e>;5kj09?o522ba966e<5;n;6?69;<0g5?4?>279h?4=879>6c1=:1<01>?m:3:5?8559383:63<3681<3=:;:31>584=21a>7>13493:7<76:?0<=<50?16?ll5294896gd2;9?70=ne;000>;4im09?952471966c<5=<?6?=;;<6;<?44<27?4:4=359>0=0=::>0196::317?82?<388863;828171=:<181>>:4=5:2>75334>3<7<<4:?73c<5;=168:k52268911c2;9?70:8c;000>;3?k09?95246c9662<5==26?=;;<64<?44<27?;:4=359>020=::>0199::317?820<388863;728171=:<>81>>:4=552>75334><<7<<4:?72c<5;=168;k52268910c2;9?70:9c;000>;3>k09?95247c9662<5=<26?=;;<65<?44<27?::4=359>030=::>0198::317?82fk388863;ac8171=:<hk1>>:4=5c:>75334>j47<<4:?7e2<5;=168l85226891g22;9?70:n4;000>;3i:09?9524`09662<5=k:6?=;;<6b4?44<27?5k4=359>0<c=::>0197k:317?82>k388863;9c8171=:<0k1>>:4=5;:>75334>247<<4:?7=2<5;=168485226891?22;9?70:64;000>;31:09?9524809662<5=3:6?=;;<6:4?44<27?4k4=359>0=c=::>0196k:317?82?k388863;8c8171=:<1k1>>:4=5::>75334>i87<<4:?7f6<5;=168o<5226891d62;9?70:m0;000>;3io09?9524`g9662<5=ko6?=;;<6g3?44<27?h;4=359>0a3=::>019j;:317?82c;388863;d38171=:<m;1>>:4=5f3>75334>hj7<<4:?7g`<5;=168nj5226891ed2;9?70:lb;000>;3kh09?9524b;9662<5=i36?=;;<6`3?44<27?o;4=359>0f3=::>019m;:317?82d;388863;c38171=:<j;1>>:4=5a3>75334>ij7<<4:?7f`<5;=168oj5226891dd2;9?70:mb;000>;3jh09?9524c;9662<5=h36?=;;<6a3?44<27?n;4=359>0g3=::>018:9:317?833?388863:49817`=:==31>>:4=46b>75334??n7<<e:?60f<5;=1699j5226890312;9?70;:7;000>;2=109?95254;9662<5<?j6?=;;<76g?44<27>9h4=359~w0cf2909wS67;<1ba?c43ty>io4?:5y]6=7<5:h96<;=;<652?44:27?h54>539~w0cd290?wS<8f:?0f0<6=;168;95220891b>28?97p}:ee83>1}Y:>o01>l7:071?8210388>63;d`8217=z{<on6=4;{_04`>;4jk0:9?5247;9664<5=ni6<;=;|q6ac<72=qU>:m4=2`f>43534>=m7<<2:?7`f<6=;1v8h?:187[40j278o<4>539>03d=::8019jk:071?xu2n80;69uQ26c896e328?970:9c;006>;3ll0:9?5rs4d1>5<3sW8<563<c68217=:<?n1>><4=5fe>4353ty>j>4?:5y]62><5:ij6<;=;<65a?44:27?i=4>539~w0`3290?wS<87:?0ga<6=;168;h5220891c628?97p}:f483>1}Y:>?01>j?:071?8208388>63;e38217=z{<l=6=4;{_040>;4l:0:9?524639664<5=o86<;=;|q6b2<72=qU>:=4=2f5>43534><>7<<2:?7a1<6=;1v8h7:187[40:278h44>539>025=::8019k::071?xu2n00;69uQ263896bd28?970:84;006>;3m?0:9?5rs4db>5<3sW8<<63<dg8217=:<>?1>><4=5g4>4353ty>jo4?:5y]63`<5:o96<;=;<642?44:27?i54>539~w0`d290?wS<9e:?0a0<6=;168:95220891c>28?97p}:fe83>1}Y:?n01>k7:071?8200388>63;e`8217=z{<ln6=4;{_05g>;4mk0:9?5246;9664<5=oi6<;=;|q6bc<72=qU>;o4=2gf>43534><m7<<2:?7af<6=;1v;>?:187[411278j<4>539>02d=::8019kk:071?xu1880;69uQ27:896`328?970:8c;006>;3ml0:9?5rs721>5<3sW8=;63<f68217=:<>n1>><4=5ge>4353ty=<>4?:5y]630<5:lj6<;=;<64a?44:27?j=4>539~w363290?wS<95:?0ba<6=;168:h5220891`628?97p}90483>1}Y:?>019>?:071?82?8388>63;f38217=z{?:=6=4;{_057>;38:0:9?524939664<5=l86<;=;|q542<72=qU>;<4=5:1>75534>m87?:2:?743<6=:1v;>7:187[41927?4>4=339>0c3=9<8019>6:070?xu1800;69uQ24d891>32;9970:i6;366>;38j0:9>5rs72b>5<3sW8>i63;848177=:<o=1=8<4=52e>4343ty=<o4?:5y]60b<5=2=6?==;<6e<?72:27?=?4>529~w36d290?wS<:c:?7<2<5;;168k751408917228?87p}90e83>1}Y:<h01967:311?82ai3;>>63;198216=z{?:n6=4={_06e>;3j<09??5rs72e>5<5sW8>563;b78177=z{?;;6=4={_06<>;3j>09??5rs732>5<5sW8>;63;b98177=z{?;96=4={_062>;3j009??5rs730>5<5sW8>863;b`8177=z{?;?6=4={_067>;3jk09??5rs736>5<5sW8>>63;bb8177=z{?;=6=4={_065>;3jm09??5rs734>5<5sW8><63;bd8177=z{?;36=4={_07b>;3jo09??5rs73:>5<5sW8?i63;c18177=z{?;j6=4={_07`>;3k809??5rs73a>5<5sW8?o63;c38177=z{?;h6=4={_07f>;3k:09??5rs73g>5<5sW8?563;c58177=z{?;n6=4={_07<>;3k<09??5rs73e>5<5sW8?;63;c78177=z{?8;6=4={_072>;3k>09??5rs702>5<5sW8?963;c98177=z{?896=4={_070>;3k009??5rs700>5<5sW8??63;c`8177=z{?8?6=4={_076>;3kk09??5rs706>5<5sW8?=63;cb8177=z{?8=6=4={_074>;3km09??5rs704>5<5sW83963;cd8177=z{?836=4={_0;0>;3ko09??5rs70:>5<5sW83?63;d18177=z{?8j6=4={_0;6>;3l809??5rs70a>5<5sW8<:63;d38177=z{?8h6=4={_05f>;3l:09??5rs70g>5<5sW8=<63;d58177=z{?8n6=4={_061>;3l<09??5rs70e>5<5sW8?m63;d78177=z{?9;6=4={_00b>;3l>09??5rs712>5<as48hm7?68:?1gc<60j16>nk519a897eb282n70<ld;3;g>;5km0:4h522bf95=`<5;ih6<6l;<0`g?7?m279on4>8g9>104=:11698<52`9>102=:11698:52`9>100=m:1v;==:182=~;4ij09??525569504<5<>>6<;<;<71a?72=27>>k4>549>166=9<?018=>:077?834:3;>863:328211=:=:>1=8:4=416>43334?8:7?:4:?672<6==169>651468905>28??70;<a;360>;2;k0:995252a9502<5<9o6<;;;<70a?72<27>?k4>559>116=9<>018:>:077?833:3;>863:428211=z{?986=4=az?0ea<5;;168km5141891`c28?870:ie;367>;3no0:9>525129505<5<::6<;<;<736?72;27><>4>529>152=9<9018>::070?837>3;>?63:068216=:=921=8=4=42:>43434?;m7?:3:?64g<6=:169=m51418906c28?870;?e;367>;2<=0:9>525579504<5<>n6<;>;<705?72=27>??4>549>165=9<?018=;:076?834=3;>963:378210=:=:=1=8;4=41;>43234?857?:5:?67d<6=<169>l51478905d28?>70;<d;361>;2;l0:985252d9503<5<>;6<;:;<775?72=27>8?4>549>115=9<?0q~8<4;296~;4;k0:>?5250d9504<uz<897>52z?07g<6:o169??51408yv04>3:1>v3<3c827d=:=;81=8<4}r403?6=:r78?o4>439>175=9<80q~8<8;296~;4;k0:89525369504<uz<857>52z?07g<6<<169?;51408yv04i3:1>v3<3c8203=:=;<1=8<4}r40f?6=:r78?o4>469>171=9<80q~8<c;296~;4;k0:855253;9504<uz<8h7>52z?07g<6<0169?o51408yv04m3:1>v3<3c8266=:=;h1=8<4}r40b?6=:r78?o4>259>17e=9<80q~8;0;296~;4;k0:>85253f9504<uz<?=7>52z?07g<6:?169?k51408yv03:3:1>v3<3c8262=:=;l1=8<4}r477?6=:r78?o4>299>166=9<80q~8;4;296~;4;k0:>l525239504<uz<?97>52z?0<=<6:;169><51408yv03>3:1>v3<89826c=:=:91=8<4}r473?6=:r78454>3`9>162=9<80q~8;8;296~;4010:8?525279504<uz<?57>52z?0<=<6<=169>851408yv03i3:1>v3<898200=:=:=1=8<4}r47f?6=:r78454>479>16>=9<80q~8;c;296~;4010:8:5252;9504<uz<?h7>52z?0<=<6<1169>o51408yv03m3:1>v3<89820<=:=:h1=8<4}r47b?6=:r78454>229>16e=9<80q~8:0;296~;4010:>95252f9504<uz<>=7>52z?0<=<6:<169>k51408yv02:3:1>v3<898263=:=:l1=8<4}r467?6=:r78454>269>116=9<80q~8:4;296~;4010:>5525539504<uz<>97>52z?0<=<6:h1699<51408yv02>3:1>v3<ac8267=:==91=8=4}r463?6=:r7?n94j3:?0eg<6:o1v;;7:18182e;3o870=nb;30e>{t><31<7<t=5`1>`5<5:ki6<:=;|q51d<72;q68o?5e29>7dd=9=>0q~8:b;296~;3j90n?63<ac8200=z{??h6=4={<6bb?c4349jn7?;6:p20b=838p19oj:d1896ge28><7p}95d83>7}:<hn1i>523``951><uz<>j7>52z?1gf<61h16?ll5f89~w3072909w0<lc;3b1>;4ik0:<;5rs742>5<5s48ho7?m0:?0eg<6981v;8=:18184dk3;in63<ac825<=z{?<86=4={<0`g?7d=278mo4>1c9~w3032909w0<lc;3`2>;4ik0:=n5rs746>5<5s48ho7?l7:?0eg<69m1v;89:18184dk3;h463<ac825`=z{?<<6=4={<0`g?7d1278mo4>1g9~w30?2909w0<lc;3`e>;4ik0:>=5rs74:>5<5s48ho7?6b:?0eg<ai2wx:;o50;0x97ed283h70=nb;da?xu1>k0;6?u22ba95<b<5:ki6km4}r45g?6=:r79on4>9d9>7dd=nm1v;8k:18184dk3;2j63<ac8ea>{t>?o1<7<t=3a`>4g7349jn7hi;|q52c<72;q6>nm51`3896ge28::7p}97183>7}::ji1=l<4=2ca>4643ty=;<4?:3y>6fe=9h901>om:027?xu1?;0;6?u22ba95d2<5:ki6<>:;|q536<72;q6>nm51`4896ge28:<7p}97583>7}::ji1=l94=2ca>46?3ty=;84?:3y>6fe=9h201>om:02:?xu1??0;6?u22ba95d?<5:ki6<>n;|q532<72;q6>nm51`c896ge28:i7p}97983>7}::ji1=ll4=2ca>46d3ty=;44?:3y>6fe=9hi01>om:02g?xu1?h0;6?u22ba95db<5:ki6<>j;|q53g<72;q6>nm51`g896ge28:m7p}97b83>7}::ji1=lh4=2ca>4773ty=;i4?:3y>6fe=9k;01>om:031?xu1?l0;6?u22ba95g4<5:ki6<?<;|q53c<72;q6>nm51c1896ge28;?7p}98183>7}::ji1=o:4=2ca>4723ty=4<4?:3y>6fe=9k?01>om:035?xu10;0;6?u22ba95g0<5:ki6<?7;|q5<6<72;q6>nk51ba897ec28lo7p}98583>7}::jo1=i94=3ag>76?3ty=484?:3y>6fc=9l801?mk:330?xu10?0;6?u22bg95`b<5;io6??j;|q5<2<72;q6>nk51g5897ec2;837p}98983>7}::jo1=k64=3ag>74>3ty=444?:3y>6fc=9o301?mk:30b?xu10h0;6?u22bg95cg<5;io6?<m;|q5<g<72;q6>nk51g`897ec2;8h7p}98b83>7}::jo1=km4=3ag>74c3ty=4i4?:3y>6fc=9jn01?mk:0df?xu10l0;6?u22bg95fc<5;io6<hi;|q5<c<72;q6>nk51bd897ec2;:;7p}99183>7}::jo1=i>4=3ag>7663ty=5<4?:3y>6fc=9m;01?mk:321?xu11;0;6?u22bg95a4<5;io6?><;|q5=6<72;q6>nk51e1897ec2;:?7p}99583>7}::jo1=i:4=3ag>7623ty=584?:3y>6fc=9m?01?mk:325?xu11?0;6?u22bg95a0<5;io6?>8;|q5=2<72;q6>nk51e:897ec2;:27p}99983>7}::jo1=i74=3ag>76f3ty=544?:3y>6fc=9mk01?mk:32a?xu11h0;6?u22bg95ad<5;io6?>l;|q5=g<72;q6>nk51ea897ec2;:o7p}99b83>7}::jo1=ij4=3ag>76b3ty=5i4?:3y>6fc=9mo01?mk:32e?xu11l0;6?u22bg95a`<5;io6???;|q5=c<72;q6>nk51d2897ec2;;:7p}9a183>7}::jo1=h?4=3ag>7753ty=m<4?:3y>6fc=9l901?mk:337?xu1i;0;6?u22bg95`2<5;io6??:;|q5e6<72;q6>nk51d7897ec2;;=7p}9a583>7}::jo1=h84=3ag>7703ty=m84?:3y>6fc=9l=01?mk:33;?xu1i?0;6?u22bg95`><5;io6??6;|q5e2<72;q6>nk51d;897ec2;;j7p}9a983>7}::jo1=ho4=3ag>77e3ty=m44?:3y>6fc=9lh01?mk:33`?xu1ih0;6?u22bg95`e<5;io6??k;|q5eg<72;q6>nk51dg897ec2;;m7p}9ab83>7}::jo1=hh4=3ag>7473ty=mi4?:3y>6fc=9o:01?mk:302?xu1il0;6?u22bg95c7<5;io6?<=;|q5ec<72;q6>nk51g0897ec2;887p}9b183>7}::jo1=k=4=3ag>7433ty=n<4?:3y>6fc=9o>01?mk:306?xu1j;0;6?u22bg95c0<5;io6?<8;|q5f6<72:q6>nk518689650288970=76;d:?xu1j=0;6?u22bg95cb<5;ih6<ml;|q5f0<72;q6>nk521:897ed28n<7p}9b783>7}::jo1><=4=3a`>4c53ty=n:4?:3y>6fc=:8o01?ml:0gg?xu1j10;6?u22bg967><5;ih6<h8;|q5f<<72;q6>nk523;897ed28l37p}9b`83>7}::jo1>?o4=3a`>4`>3ty=no4?:3y>6fc=:;h01?ml:0db?xu1jj0;6?u22bg967e<5;ih6<hm;|q5fa<72;q6>nk523f897ed28lh7p}9bd83>7}::jo1=kk4=3a`>4ec3ty=nk4?:3y>6fc=9ol01?ml:0af?xu1k90;6?u22bg9656<5;ih6<mi;|q5g4<72;q6>nk5213897ed28n;7p}9c383>7}::jo1>=<4=3a`>4b63ty=o>4?:3y>6fc=:9901?ml:0f1?xu1k=0;6?u22bg9652<5;ih6<j<;|q5g0<72;q6>nk5217897ed28n?7p}9c783>7}::jo1>=84=3a`>4b23ty=o:4?:3y>6fc=:9=01?ml:0f5?xu1k10;6?u22bg965?<5;ih6<j7;|q5g<<72;q6>nk521c897ed28n27p}9c`83>7}::jo1>=l4=3a`>4bf3ty=oo4?:3y>6fc=:9i01?ml:0fa?xu1kj0;6?u22bg965b<5;ih6<jl;|q5ga<72;q6>nk521g897ed28no7p}9cd83>7}::jo1>=h4=3a`>4bb3ty=ok4?:3y>6fc=:8:01?ml:0fe?xu1l90;6?u22bg9647<5;ih6<k?;|q5`4<72;q6>nk5200897ed28o:7p}9d383>7}::jo1><:4=3a`>4c43ty=h>4?:3y>6fc=:8?01?ml:0g7?xu1l=0;6?u22bg9640<5;ih6<k:;|q5`0<72;q6>nk5205897ed28o=7p}9d783>7}::jo1><64=3a`>4c03ty=h:4?:3y>6fc=:8301?ml:0g;?xu1l10;6?u22bg964g<5;ih6<k6;|q5`<<72;q6>nk520`897ed28oj7p}9d`83>7}::jo1><m4=3a`>4ce3ty=ho4?:3y>6fc=:8n01?ml:0g`?xu1lj0;6?u22bg964`<5;ih6<kj;|q5`a<72;q6>nk5232897ed28om7p}9dd83>7}::jo1>??4=3a`>4`73ty=hk4?:3y>6fc=:;801?ml:0d2?xu1m90;6?u22bg9675<5;ih6<h=;|q5a4<72;q6>nk5236897ed28l87p}9e383>7}::jo1>?;4=3a`>4`33ty=i>4?:3y>6fc=:;=01?ml:0d5?xu1m=0;6?u22bg95d4<5;ih65o4}r4f1?6=:r79oh4>a29>6fe=1<1v;k9:18184dm3;j863=cb8b4>{t>l=1<7<t=3af>4g1348ho7om;|q5a=<72;q6>nk51`5897ed2k?0q~8j9;296~;5kl0:m5522ba9f3=z{?oj6=4={<0`a?7f1279on4m7:p2`d=838p1?mj:0cb?84dk3h37p}9eb83>7}::jo1=ll4=3a`>g?<uz<nh7>52z?1g`<6ij16>nm5b`9~w3cb2909w0<le;3b`>;5kj03n6s|6dd94?4|5;in6<oj;<0`g?>d3ty=j=4?:3y>6fc=9hl01?ml:9f8yv0a93:1>v3=cd82f4=::ji14h5rs7d1>5<5s48hi7?m2:?1gf<?n2wx:k=50;0x97eb28h870<lc;;3?xu1n=0;6?u22bg95g2<5;ih64?4}r4e1?6=:r79oh4>b49>6fe=1;1v;h9:18184dm3;i:63=cb8:7>{t>o=1<7<t=3af>4d0348ho77;;|q5b=<72;q6>nk51c:897ed20<0q~8i9;296~;5kl0:n4522ba9=2=z{?lj6=4={<0`a?7ei279on468:p2cd=838p1?mj:0``?84dk3327p}9fb83>7}::jo1=oj4=3a`><g<uz<mh7>52z?1g`<6jl16>nm59c9~w3`b2909w0<le;3ab>;5kj02o6s|6gd94?4|5;in6<m?;<0`g??c3ty<<=4?:3y>6fc=9j;01?ml:8g8yv1793:1>v3=cd82g7=::ji15k5rs621>5<6;r79oh4>c59>6fe=i816>nm5a39>6fe=i:16>nm5a59>6fe=i<16>nm5a79>6fe=i>16>nm5a99>6fe=i016>nm5a`9>6fe=ij16>nm5ae9>6fe=il16>nm5ag9>6fe=j916>nm5b09>6fe=j;16>nm5b59~w2642909w0<le;3:e>;4010m56s|71694?4|5;in6<o:;<1;<?77>2wx;=;50;0x97eb28h;70=78;325>{t?9<1<7<t=3af>4de349347?>9:p351=838p1?mj:0a6?85?03;:n6s|71:94?4|5;in6<m9;<1;<?76k2wx;=750;0x97eb28i<70=78;32`>{t?9k1<7<t=3af>4e?349347?>e:p35d=838p1?mj:0a:?85?03;:j6s|71a94?4|5;in6<mn;<1;<?7582wx;=j50;0x97eb283i70=78;db?xu08l0;6?u22bg95<e<5:236kl4}r53b?6=:r79oh4>9e9>7=>=nj1v:??:18184dm3;2i63<898e`>{t?8;1<7<t=3af>4?a349347hj;|q457<72;q6>nk51`2896>?2ol0q~9>3;296~;5kl0:m<5239:9557<uz=:87>52z?1g`<0<279on4;a:p343=838p1?mj:6g897ed2<<0q~9>6;296~;5kl0<j63=cb86=>{t?8=1<7<t=3af>=6<5;ih68o4}r52<?6=:r79oh471:?1gf<2j2wx;<750;0x97eb21801?ml:4a8yv16i3:1>v3=cd8;7>;5kj0>h6s|70`94?4|5;in65:4=3a`>0c<uz=:o7>52z?1g`<?=279on4:f:p34b=838p1?mj:94897ed2?:0q~9>e;296~;5kl0<963=cb87f>{t?8l1<7<t=3af>20<5;ih69m4}r514?6=:r79oh487:?1gf<3l2wx;??50;0x97eb2>201?ml:5g8yv15:3:1>v3=cd84=>;5kj0?j6s|73194?4|5;in6:o4=3a`>06<uz=987>52z?1g`<0j279on4:1:p373=838p1?mj:6f897ed2<90q~9=6;296~;5kj0:59523949574<uz=9;7>52z?1gc<6nm16>nj51ba8yv1503:1>v3=cg814==::jn1=i94}r51=?6=:r79ok4=129>6fb=9l80q~9=a;296~;5ko09=h522bf95`b<uz=9n7>52z?1gc<5:116>nj51g58yv15k3:1>v3=cg816<=::jn1=k64}r51`?6=:r79ok4=2`9>6fb=9o30q~9=e;296~;5ko09>o522bf95cg<uz=9j7>52z?1gc<5:j16>nj51g`8yv1483:1>v3=cg816a=::jn1=km4}r505?6=:r79ok4>fd9>6fb=9jn0q~9<2;296~;5ko0:jk522bf95fc<uz=8?7>52z?1gc<58916>nj51bd8yv14<3:1>v3=cg8144=::jn1=i>4}r501?6=:r79ok4=039>6fb=9m;0q~9<6;296~;5ko09<>522bf95a4<uz=8;7>52z?1gc<58=16>nj51e18yv1403:1>v3=cg8140=::jn1=i:4}r50=?6=:r79ok4=079>6fb=9m?0q~9<a;296~;5ko09<:522bf95a0<uz=8n7>52z?1gc<58016>nj51e:8yv14k3:1>v3=cg814d=::jn1=i74}r50`?6=:r79ok4=0c9>6fb=9mk0q~9<e;296~;5ko09<n522bf95ad<uz=8j7>52z?1gc<58m16>nj51ea8yv1383:1>v3=cg814`=::jn1=ij4}r575?6=:r79ok4=0g9>6fb=9mo0q~9;2;296~;5ko09==522bf95a`<uz=??7>52z?1gc<59816>nj51d28yv13<3:1>v3=cg8157=::jn1=h?4}r571?6=:r79ok4=159>6fb=9l90q~9;6;296~;5ko09=8522bf95`2<uz=?;7>52z?1gc<59?16>nj51d78yv1303:1>v3=cg8152=::jn1=h84}r57=?6=:r79ok4=199>6fb=9l=0q~9;a;296~;5ko09=4522bf95`><uz=?n7>52z?1gc<59h16>nj51d;8yv13k3:1>v3=cg815g=::jn1=ho4}r57`?6=:r79ok4=1b9>6fb=9lh0q~9;e;296~;5ko09=i522bf95`e<uz=?j7>52z?1gc<59o16>nj51dg8yv1283:1>v3=cg8165=::jn1=hh4}r565?6=:r79ok4=209>6fb=9o:0q~9:2;296~;5ko09>?522bf95c7<uz=>?7>52z?1gc<5::16>nj51g08yv12<3:1>v3=cg8161=::jn1=k=4}r561?6=:r79ok4=249>6fb=9o>0q~9:6;296~;5ko09>:522bf95c0<uz=>;7>52z?1gc<6i;16>nj58`9~w23?2909w0<lf;3b7>;5km0296s|74;94?4|5;im6<o;;<0``?g73ty<9l4?:3y>6f`=9h<01?mk:``8yv12j3:1>v3=cg82e2=::jn1n85rs67`>5<5s48hj7?n8:?1ga<e>2wx;8j50;0x97ea28k270<ld;`4?xu0=l0;6?u22bd95dg<5;io6o64}r56b?6=:r79ok4>ac9>6fb=j01v:8?:18184dn3;jo63=ce8ae>{t??;1<7<t=3ae>4gc348hh76m;|q427<72;q6>nh51`g897ec21i0q~993;296~;5ko0:mk522bf9<a=z{><?6=4={<0`b?7e9279oi47e:p333=838p1?mi:0`1?84dl32m7p}86783>7}::jl1=o=4=3ag><6<uz==;7>52z?1gc<6j=16>nj5909~w20?2909w0<lf;3a1>;5km02>6s|77;94?4|5;im6<l9;<0``??43ty<:l4?:3y>6f`=9k=01?mk:868yv11j3:1>v3=cg82f==::jn15;5rs64`>5<5s48hj7?m9:?1ga<>?2wx;;j50;0x97ea28hj70<ld;;;?xu0>l0;6?u22bd95ge<5;io6474}r55b?6=:r79ok4>be9>6fb=1h1v:9?:18184dn3;ii63=ce8:f>{t?>;1<7<t=3ae>4da348hh77l;|q437<72;q6>nh51b2897ec20n0q~983;296~;5ko0:o<522bf9=`=z{>=?6=4={<0`b?7d:279oi46f:p323=83;8w0<lf;3`0>;5km0j=63=ce8b6>;5km0j?63=ce8b0>;5km0j963=ce8b2>;5km0j;63=ce8b<>;5km0j563=ce8be>;5km0jo63=ce8b`>;5km0ji63=ce8bb>;5km0i<63=ce8a5>;5km0i>63=ce8a0>{t?><1<7<t=3ae>4?f3498n7h6;|q432<72;q6>nh51`78965e28:=7p}87983>7}::jl1=o>4=21a>4763ty<;44?:3y>6f`=9kh01>=m:03:?xu0?h0;6?u22bd95f3<5:9i6<?m;|q43g<72;q6>nh51b48965e28;h7p}87b83>7}::jl1=n94=21a>47c3ty<;i4?:3y>6f`=9j201>=m:03f?xu0?l0;6?u22bd95f?<5:9i6<?i;|q43c<72;q6>nh51bc8965e288;7p}88183>7}::jl1=4l4=21a>cg<uz=3=7>52z?1gc<61j16?>l5fc9~w2>52909w0<lf;3:`>;4;k0mo6s|79194?4|5;im6<7j;<10f?`c3ty<494?:3y>6f`=90l01>=m:gg8yv1?=3:1>v3=cg82e5=:;:h1jk5rs6:5>5<5s48hj7?n1:?07g<6881v:68:18184dn3=?70<ld;6b?xu0010;6?u22bd93`=::jn19;5rs6::>5<5s48hj79i;<0``?3>3ty<4l4?:3y>6f`=0916>nj55`9~w2>e2909w0<lf;:2?84dl3?i7p}88b83>7}::jl14?522bf91f=z{>2o6=4={<0`b?>4348hh7;k;|q4<`<72;q6>nh5859>6fb==l1v:6i:18184dn32>70<ld;7e?xu0190;6?u22bd9<3=::jn1:=5rs6;2>5<5s48hj79:;<0``?2e3ty<5?4?:3y>6f`=??16>nj54b9~w2?42909w0<lf;54?84dl3>o7p}89583>7}::jl1;5522bf90`=z{>3>6=4={<0`b?1>348hh7:i;|q4=3<72;q6>nh57`9>6fb==91v:78:18184dn3=i70<ld;72?xu0110;6?u22bd93a=::jn19>5rs6;:>5<4s48hh7?64:?072<a1278?44>239~w2?f2909w0<le;6b?84c:3;9>6s|78`94?4|5;in6884=3f1>44a3ty<5n4?:3y>6fc==016>i<512c8yv1>l3:1>v3=cd86e>;5l;0:8?5rs6;f>5<5s48hi7;m;<0g6?73<2wx;4h50;0x97eb2<i01?j=:066?xu0i90;6?u22bg91a=::m81=984}r5b5?6=:r79oh4:e:?1`7<6<>1v:o=:18184dm3?m70<k2;37<>{t?h91<7<t=3af>36<5;n96<:6;|q4e1<72;q6>nk54c9>6a4=9;90q~9n5;296~;5kl0?o63=d38261=z{>k=6=4={<0`a?2c348o>7?=5:p3d1=838p1?mj:5g897b5288=7p}8a983>7}::jo18k522e09571<uz=j57>52z?1g`<28279h?4>299~w2gf2909w0<le;72?84c:3;9m6s|7``94?4|5;in68=4=3f1>44d3ty<mn4?:3y>6f`=<h16>i>51308yv1fl3:1>v3=cg862>;5l90:>k5rs6cf>5<5s48hj7;6;<0g4?74i2wx;lh50;0x97ea2<k01?j?:061?xu0j90;6?u22bd91g=::m:1=9:4}r5a5?6=:r79ok4:c:?1`5<6<<1v:l=:18184dn3?o70<k0;372>{t?k91<7<t=3ae>0c<5;n;6<:8;|q4f1<72;q6>nh55g9>6a6=9=20q~9m5;296~;5ko0=<63=d1820<=z{>h=6=4={<0`b?2e348o<7?=3:p3g1=838p1?mi:5a897b7288?7p}8b983>7}::jl18i522e29573<uz=i57>52z?1gc<3m279h=4>279~w2df2909w0<lf;6e?84c83;9;6s|7c`94?4|5;im68>4=3f3>44?3ty<nn4?:3y>6f`==816>i>513c8yv1el3:1>v3=cg867>;5l90:>n5rs6`f>5<5s48ho7<i;<115?75:2wx;oh50;0x97ed2:h01><>:00e?xu0k90;6?u22ba97`=:;;;1=>o4}r5`5?6=:r79on4<f:?064<6<;1v:m=:18e84dk3>;70<lc;62?84dk3>970<lc;60?84dk3>?70<lc;66?84dk39;70<lc;12?84dk39970<lc;10?84dk39?70<lc;16?84dk39=70<lc;1;?85593;?86s|7b194?4|5;io6?h4=23a>4453ty<o94?:3y>6fb=;k16?<l513d8yv1d=3:1>v3=ce80a>;49k0:?l5rs6a5>5<5s48hh7=i;<12f?73:2wx;n950;dx97ec2=:01?mk:53897ec2=801?mk:51897ec2=>01?mk:57897ec2::01?mk:23897ec2:801?mk:21897ec2:>01?mk:27897ec2:<01?mk:2:8967e28>?7p}8c983>7}::jo1>k522g59574<uz=h57>52z?1g`<4j279j:4>2g9~w2ef2909w0<le;1f?84a?3;8m6s|7b`94?4|5;in6>h4=3d4>4253ty<on4?:3y>6fc=<916>k951568yv1dl3:1>v3=cd875>;5n>0:885rs6af>5<5s48hi7:=;<0e3?73>2wx;nh50;0x97eb2=901?h8:064?xu0l90;6?u22bg901=::o=1=964}r5g5?6=:r79oh4;5:?1b2<6<01v:j=:18184dm39;70<i7;317>{t?m91<7<t=3af>67<5;l<6<<;;|q4`1<72;q6>nk5339>6c1=9;?0q~9k5;296~;5kl08?63=f68263=z{>n=6=4={<0`a?53348m;7?=7:p3a1=838p1?mj:27897`028837p}8d983>7}::jo1?;522g5957g<uz=o57>52z?1g`<40279j:4>2b9~w2bf2909w0<lf;0e?84c93;9>6s|7e`94?4|5;im6>l4=3f2>44a3ty<hn4?:3y>6f`=;l16>i?512c8yv1cl3:1>v3=cg80b>;5l80:8?5rs6ff>5<5s48hj7:?;<0g5?73<2wx;ih50;0x97ea2=;01?j>:066?xu0m90;6?u22bd907=::m;1=984}r5f5?6=:r79ok4;3:?1`4<6<>1v:k=:18184dn3>?70<k1;37<>{t?l91<7<t=3ae>13<5;n:6<:6;|q4a1<72;q6>nh5319>6a7=9;90q~9j5;296~;5ko08=63=d08261=z{>o=6=4={<0`b?55348o=7?=5:p3`1=838p1?mi:21897b6288=7p}8e983>7}::jl1?9522e39571<uz=n57>52z?1gc<4=279h<4>299~w2cf2909w0<lf;15?84c93;9m6s|7d`94?4|5;im6>64=3f2>44d3ty<in4?:5y>7de=m:169995e29>11b=m:1698k52208yv1bl3:1n=u22b`951`<5;im6ol4=3ae>f3<5;im6n84=3ae>f1<5;im6h:4=3ae>`c<5;im6hh4=3ae>c6<5;im6k?4=3ae>c4<5;im6k=4=3ae>c2<5;im6k;4=3ae>c0<5;im6h;4=3ae>`0<5;im6h94=3ae>`><5;im6h74=3ae>`g<5;im6hl4=3ae>`b<5;im6<6k;<0`b?7>8279ok4>909>6f`=90801?mi:0;7?84dn3;ho63=cg82`2=::jl1=h<4=3ae>4cc348hj7?i7:?1gc<6n116>nh51g;897ea28lj70<lf;3ef>;5ko0:jn522bd95fb<5;im6<mj;<0`b?7dn279ok4>d19>6f`=9m;01?mi:0f1?84dn3;o?63=cg82`1=::jl1=i;4=3ae>4b1348hj7?k8:?1gc<6l016>nh51ec897ea28ni70<lf;3gg>;5ko0:hi522bd95ac<5;im6<ji;<0`b?7b8279ok4>e09>6f`=9l901?mi:0g7?84dn3;n963=cg82a3=::jl1=h94=3ae>4c?348hj7?j9:?1gc<6mh16>nh51d`897ea28oh70<lf;3fa>;5ko0:ik522bd95c6<5;im6<h>;<0`b?7a:279ok4>f29>6f`=9o>01?mi:0d5?84dn388;63=cg817==::jl1>>74=3af>gd<5;in6n;4=3af>f0<5;in6n94=3af>`2<5;in6hk4=3af>``<5;in6k>4=3af>c7<5;in6k<4=3af>c5<5;in6k:4=3af>c3<5;in6k84=3af>`3<5;in6h84=3af>`1<5;in6h64=3af>`?<5;in6ho4=3af>`d<5;in6hj4=3af>4>c348hi7?7f:?1g`<61916>nk5183897eb283970<le;003>;5kl09?5522bg966?<5;io6ol4=3ag>f0<5;io6n94=3ag>`2<5;io6hk4=3ag>``<5;io6k>4=3ag>c7<5;io6k<4=3ag>c5<5;io6k:4=3ag>c3<5;io6k84=3ag>`3<5;io6h84=3ag>`1<5;io6h64=3ag>`?<5;io6ho4=3ag>`d<5;io6hj4=3ag>4>c348hh7?60:?1ga<61816>nj5180897ec2;9370<ld;00=>;5kj0in63=cb8`2>;5kj0h;63=cb8f0>;5kj0ni63=cb8fb>;5kj0m<63=cb8e5>;5kj0m>63=cb8e7>;5kj0m863=cb8e1>;5kj0m:63=cb8f1>;5kj0n:63=cb8f3>;5kj0n463=cb8f=>;5kj0nm63=cb8ff>;5kj0nh63=cb82<a=::ji1=4>4=3a`>4?6348ho7?62:?1gf<5;116>nm522;897ea282n70<lf;3;b>;5l90:<>522e39555<5;l<6<><;<12f?76j278><4>1c9>76?=n01698<5e29>104=:j1698<52e9>102=:j1698:52e9~w2cb290?w0=nd;g0?833>3o870;;a;g0?832k388>6s|7dd94?4|5:kn6?==;<760?c43ty<j=4?:5y>032=m:169975e29>11e=m:1699k519c8yv1a93:1>v3<b082<d=:;hl1on5rs6d1>5<4s49i>7?7a:?0f4<5;l16?o>514:8yv1a;3:1>v3<b08e3>;3l10:4l5rs6d7>5<4s49i87?7a:?0f4<dk278n=4lc:p3c3=839p1>l::0:b?85e<388i63<b2821==z{>l=6=4={<1a0?`034>o57?7a:p3c1=839p1>l8:0:b?85e<3ih70=m3;a`?xu0n10;6>u23c:95=g<5:h<6?=j;<1a2?7202wx;k750;0x96d02o=019jn:0:b?xu0nh0;6>u23cc95=g<5:h<6nm4=2`5>fe<uz=mn7>53z?0fg<60h16?oo522g896d>28?37p}8fb83>7}:;kk1j:524e`95=g<uz=mh7>53z?0fa<60h16?oo5cb9>7g?=kj1v:hj:18085em3;3m63<be817`=:;ki1=864}r5eb?6=:r78ni4i7:?7`f<60h1v5>?:18085d83;3m63<be8`g>;4jj0ho6s|81394?5|5:i:6<6n;<1`4?44m278nk4>599~w=652909w0=l0;d4?82cl3;3m6s|81194?5|5:i86<6n;<1`4?ed349ij7ml;|q;41<72:q6?n:519c896e42;9n70=l2;36<>{t09?1<7<t=2a0>c1<5=nn6<6n;|q;43<72:q6?n8519c896e42ji01>m=:ba8yv>7?3:1?v3<c682<d=:;j<1>>k4=2a6>43?3ty3<54?:3y>7f0=n>168ih519c8yv>713:1?v3<c882<d=:;j<1on523b79gf=z{1:j6=4<{<1`e?7?i278o44=3d9>7f>=9<20q~6?b;296~;4k00m;63;e182<d=z{1:h6=4<{<1`g?7?i278o44lc:?0g=<dk2wx4=j50;1x96ec282j70=lc;00a>;4kk0:955rs92f>5<5s49ho7h8;<6f5?7?i2wx4=h50;1x96ea282j70=lc;a`?85dj3ih7p}71183>6}:;m:1=5o4=2ae>75b349hi7?:8:p<47=838p1>mi:g5891c5282j7p}71383>6}:;m81=5o4=2ae>fe<5:in6nm4}r:27?6=;r78h>4>8`9>7a4=::o01>j>:07;?xu?9=0;6?u23e09b2=:<l91=5o4}r:21?6=;r78h84>8`9>7a4=kj16?i?5cb9~w=712908w0=k6;3;e>;4l<09?h523e6950><uz2:;7>52z?0`0<a?27?i94>8`9~w=7?2908w0=k8;3;e>;4l<0ho63<d58`g>{t0831<7=t=2f:>4>f349o47<<e:?0`2<6=11v5?n:18185c03l<70:j5;3;e>{t08h1<7=t=2fa>4>f349o47ml;<1g3?ed3ty3=n4?:2y>7ae=91k01>jm:31f?85ci3;>46s|80f94?4|5:ni6k94=5g5>4>f3ty3=h4?:2y>7ac=91k01>jm:ba896bf2ji0q~6>f;297~;4lo0:4l523eg966c<5:no6<;7;|q;65<72;q6?ik5f69>0`1=91k0q~6=1;297~;4m80:4l523eg9gf=:;mn1on5rs901>5<4s49n>7?7a:?0a4<5;l16?h>514:8yv>5;3:1>v3<e08e3>;3m10:4l5rs907>5<4s49n87?7a:?0a4<dk278i=4lc:p<73=839p1>k::0:b?85b<388i63<e2821==z{18=6=4={<1f0?`034>n57?7a:p<71=839p1>k8:0:b?85b<3ih70=j3;a`?xu?:10;6>u23d:95=g<5:o<6?=j;<1f2?7202wx4?750;0x96c02o=019kn:0:b?xu?:h0;6>u23dc95=g<5:o<6nm4=2g5>fe<uz29n7>53z?0ag<60h16?ho522g896c>28?37p}72b83>7}:;lk1j:524d`95=g<uz29h7>53z?0aa<60h16?ho5cb9>7`?=kj1v5<j:18085bm3;3m63<ee817`=:;li1=864}r:1b?6=:r78ii4i7:?7af<60h1v5=?:18085a83;3m63<ee8`g>;4mj0ho6s|82394?5|5:l:6<6n;<1e4?44m278ik4>599~w=552909w0=i0;d4?82bl3;3m6s|82194?5|5:l86<6n;<1e4?ed349nj7ml;|q;71<72:q6?k:519c896`42;9n70=i2;36<>{t0:?1<7<t=2d0>c1<5=on6<6n;|q;73<72:q6?k8519c896`42ji01>h=:ba8yv>4?3:1?v3<f682<d=:;o<1>>k4=2d6>43?3ty3?54?:3y>7c0=n>168hh519c8yv>413:1?v3<f882<d=:;o<1on523g79gf=z{19j6=4<{<1ee?7?i278j44=3d9>7c>=9<20q~6<b;296~;4n00m;63;f182<d=z{19h6=4<{<1eg?7?i278j44lc:?0b=<dk2wx4>j50;1x96`c282j70=ic;00a>;4nk0:955rs91f>5<5s49mo7h8;<6e5?7?i2wx4>h50;1x96`a282j70=ic;a`?85aj3ih7p}74183>6}:<9:1=5o4=2de>75b349mi7?:8:p<17=838p1>hi:g5891`5282j7p}74383>6}:<981=5o4=2de>fe<5:ln6nm4}r:77?6=;r7?<>4>8`9>054=::o019>>:07;?xu?<=0;6?u24109b2=:<o91=5o4}r:71?6=;r7?<84>8`9>054=kj168=?5cb9~w=212908w0:?6;3;e>;38<09?h52416950><uz2?;7>52z?740<a?27?j94>8`9~w=2?2908w0:?8;3;e>;38<0ho63;058`g>{t0=31<7=t=52:>4>f34>;47<<e:?742<6=11v5:n:18182703l<70:i5;3;e>{t0=h1<7=t=52a>4>f34>;47ml;<633?ed3ty38n4?:2y>05e=91k019>m:31f?827i3;>46s|85f94?4|5=:i6k94=5d5>4>f3ty38h4?:2y>05c=91k019>m:ba8916f2ji0q~6;f;297~;38o0:4l5241g966c<5=:o6<;7;|q;15<72;q68=k5f69>0c1=91k0q~6:1;297~;3980:4l5241g9gf=:<9n1on5rs971>5<4s4>:>7?7a:?754<5;l168<>514:8yv>2;3:1>v3;108e3>;3n10:4l5rs977>5<4s4>:87?7a:?754<dk27?==4lc:p<03=839p19?::0:b?826<388i63;12821==z{1?=6=4={<620?`034>m57?7a:p<01=839p19?8:0:b?826<3ih70:>3;a`?xu?=10;6>u240:95=g<5=;<6?=j;<622?7202wx48750;0x91702o=019hn:0:b?xu?=h0;6>u240;95=g<5=;<6nm4=535>fe<uz2>n7>52z?75d<60h168<7522g8yv>2k3:1>v3;188e3>;3nk0:4l5rs97g>5<5s4>:57ml;<77b?7?i2wx48k50;0x917c282j70:>b;a`?xu?=o0;6>u240f966c<5=;h6<;7;<777?7?i2wx4;>50;0x917c2o=019hl:0:b?xu?>80;6>u240d95=g<5=;o6nm4=53`>fe<uz2=>7>53z?75c<5;l168<k514:89025282j7p}76283>7}:<8l1j:524gf95=g<uz2=87>53z?764<60h168<h5cb9>04c=kj1v58::1808259388i63;21821==:==;1=5o4}r:52?6=:r7?><4i7:?7b`<60h1v588:180825;3;3m63;208`g>;3:90ho6s|87:94?5|5=886?=j;<616?72027>8=4>8`9~w=0>2909w0:=3;d4?82an3;3m6s|87c94?5|5=8>6<6n;<617?ed34>9>7ml;|q;2g<72:q68?;522g8914328?370;<f;3;e>{t0?i1<7<t=506>c1<5<:;6<6n;|q;2a<72:q68?9519c891422ji019<;:ba8yv>1m3:1?v3;26817`=:<;<1=864=41f>4>f3ty3:k4?:3y>071=n>169=?519c8yv>083:1?v3;2882<d=:<;=1on524349gf=z{1=:6=4<{<61=?44m27?>54>599>16b=91k0q~682;296~;3:00m;63:0382<d=z{1=86=4<{<61f?7?i27?>44lc:?76=<dk2wx4::50;1x914e2;9n70:=a;36<>;2;j0:4l5rs956>5<5s4>9n7h8;<737?7?i2wx4:850;1x914c282j70:=b;a`?825i3ih7p}77683>6}:<;n1>>k4=50`>43?34?8n7?7a:p<2>=838p19<k:g589063282j7p}77883>6}:<;l1=5o4=50g>fe<5=8h6nm4}r:4e?6=;r7?>k4=3d9>07c=9<2018=n:0:b?xu??k0;6?u243d9b2=:=9?1=5o4}r:4g?6=;r7??<4>8`9>07`=kj168?k5cb9~w=1c2908w0:<1;00a>;3;90:955252;95=g<uz2<i7>52z?774<a?27><;4>8`9~w=1a2908w0:<3;3;e>;3;80ho63;318`g>{t01:1<7=t=510>75b34>8>7?:8:?67=<60h1v56>:181824;3l<70;?7;3;e>{t0181<7=t=516>4>f34>8?7ml;<606?ed3ty34>4?:2y>063=::o019=;:07;?834?3;3m6s|89694?4|5=9>6k94=42;>4>f3ty3484?:2y>061=91k019=::ba891532ji0q~676;297~;3;>09?h52424950><5<9=6<6n;|q;<2<72;q68>95f69>15?=91k0q~678;297~;3;00:4l524259gf=:<:<1on5rs9::>5<4s4>857<<e:?77=<6=1169>;519c8yv>?i3:1>v3;388e3>;28h0:4l5rs9:a>5<4s4>8n7?7a:?77<<dk27??54lc:p<=e=839p19=m:31f?824i3;>463:3582<d=z{12o6=4={<60f?`034?;n7?7a:p<=c=839p19=k:0:b?824j3ih70:<a;a`?xu?0o0;6>u242f966c<5=9h6<;7;<707?7?i2wx44>50;0x915c2o=018>l:0:b?xu?180;6>u242d95=g<5=9o6nm4=51`>fe<uz22>7>53z?77c<5;l168>k514:89055282j7p}79283>7}:<:l1j:5251f95=g<uz2287>53z?704<60h168>h5cb9>06c=kj1v57::1808239388i63;41821==:=:;1=5o4}r::2?6=:r7?8<4i7:?64`<60h1v578:180823;3;3m63;408`g>;3<90ho6s|88:94?5|5=>86?=j;<676?72027>?=4>8`9~w=?>2909w0:;3;d4?837n3;3m6s|88c94?5|5=>>6<6n;<677?ed34>?>7ml;|q;=g<72:q689;522g8912328?370;=f;3;e>{t00i1<7<t=566>c1<5<;;6<6n;|q;=a<72:q6899519c891222ji019:;:ba8yv>>m3:1?v3;46817`=:<=<1=864=40f>4>f3ty35k4?:3y>011=n>169<?519c8yv>f83:1?v3;4882<d=:<==1on524549gf=z{1k:6=4<{<67=?44m27?854>599>17b=91k0q~6n2;296~;3<00m;63:1382<d=z{1k86=4<{<67f?7?i27?844lc:?70=<dk2wx4l:50;1x912e2;9n70:;a;36<>;2:j0:4l5rs9c6>5<5s4>?n7h8;<727?7?i2wx4l850;1x912c282j70:;b;a`?823i3ih7p}7a683>6}:<=n1>>k4=56`>43?34?9n7?7a:p<d>=838p19:k:g589073282j7p}7a883>6}:<=l1=5o4=56g>fe<5=>h6nm4}r:be?6=;r7?8k4=3d9>01c=9<2018<n:0:b?xu?ik0;6?u245d9b2=:=8?1=5o4}r:bg?6=;r7?9<4>8`9>01`=kj1689k5cb9~w=gc2908w0::1;00a>;3=90:955253;95=g<uz2ji7>52z?714<a?27>=;4>8`9~w=ga2908w0::3;3;e>;3=80ho63;518`g>{t0k:1<7=t=570>75b34>>>7?:8:?662<60h1v5l>:181822;3l<70;>7;3;e>{t0k81<7=t=576>4>f34>>?7ml;<666?ed3ty3n>4?:2y>003=::o019;;:07;?835>3;3m6s|8c694?4|5=?>6k94=43;>4>f3ty3n84?:2y>001=91k019;::ba891332ji0q~6m6;297~;3=>09?h52444950><5<8>6<6n;|q;f2<72;q68895f69>14?=91k0q~6m8;297~;3=00:4l524459gf=:<<<1on5rs9`:>5<4s4>>57<<e:?71=<6=1169?:519c8yv>ei3:1>v3;588e3>;29h0:4l5rs9`a>5<4s4>>n7?7a:?71<<dk27?954lc:p<ge=839p19;m:31f?822i3;>463:2282<d=z{1ho6=4={<66f?`034?:n7?7a:p<gc=839p19;k:0:b?822j3ih70::a;a`?xu?jo0;6>u244f966c<5=?h6<;7;<716?7?i2wx4n>50;0x913c2o=018?l:0:b?xu?k80;6>u244d95=g<5=?o6nm4=57`>fe<uz2h>7>53z?71c<5;l1688k514:89046282j7p}7c283>7}:<<l1j:5250f95=g<uz2h87>53z?724<60h1688h5cb9>00c=kj1v5m::1808219388i63;61821==:=8l1=5o4}r:`2?6=:r7?:<4i7:?65`<60h1v5m8:180821:3;3m63;608`g>;3>90ho6s|8b:94?4|5=<96?=j;<765?7?i2wx4n750;0x91052o=018<?:0:b?xu?kh0;6?u24709gf=:=;21=5o4}r:`f?6=:r7?:=4>8`9>0de=m:1v5ml:181822m3;3m63;ac8f7>{t0jn1<7<t=57`>4>f34>jm7k<;|q;g`<72;q688o519c891g>2l90q~6lf;296~;3=10:4l524`:9a6=z{1n;6=4={<662?7?i27?m:4j3:p<a7=838p19;;:0:b?82f>3o87p}7d383>7}:<<81=5o4=5c6>`5<uz2o?7>52z?715<60h168l:5e29~w=b32909w0:;e;3;e>;3i:0n?6s|8e794?4|5=>h6<6n;<6b6?c43ty3h;4?:3y>01g=91k019o>:d18yv>c?3:1>v3;4982<d=:<h:1i>5rs9f;>5<5s4>?:7?7a:?7=c<b;2wx4i750;0x9123282j70:6e;g0?xu?lh0;6?u245095=g<5=3o6h=4}r:gf?6=:r7?8=4>8`9>0<e=m:1v5jl:181824m3;3m63;9c8f7>{t0mn1<7<t=51`>4>f34>2m7k<;|q;``<72;q68>o519c891?>2l90q~6kf;296~;3;10:4l5248:9a6=z{1o;6=4={<602?7?i27?5:4j3:p<`7=838p19=;:0:b?82>>3o87p}7e383>7}:<:81=5o4=5;6>`5<uz2n?7>52z?775<60h1684:5e29~w=c32909w0:=e;3;e>;31:0n?6s|8d794?4|5=8h6<6n;<6:6?c43ty3i;4?:3y>07g=91k0197>:d18yv>b?3:1>v3;2982<d=:<0:1i>5rs9g;>5<5s4>9:7?7a:?7<c<b;2wx4h750;0x9143282j70:7e;g0?xu?mh0;6?u243095=g<5=2o6h=4}r:ff?6=:r7?>=4>8`9>0=e=m:1v5kl:181826m3;3m63;8c8f7>{t0ln1<7<t=53`>4>f34>3m7k<;|q;a`<72;q68<l519c891>>2l90q~6jf;290~;3>:0n?63:4482<d=:==21i>5255`9a6=z{1l;6=4={<622?7?i27?454j3:p<c7=838p19?<:0:b?82??3o87p}7f383>7}:<8:1=5o4=5:5>`5<uz2m?7>52z?74a<60h1685;5e29~w=`32909w0:?a;3;e>;30=0n?6s|8g794?4|5=:<6<6n;<6;7?c43ty3j;4?:3y>052=91k0196=:d18yv>a?3:1>v3;0082<d=:<1;1i>5rs9d;>5<5s49mi7?7a:?7<5<b;2wx4k750;0x96`e282j70:8f;g0?xu?nh0;6?u23g:95=g<5==n6h=4}r:ef?6=:r78j84>8`9>02b=m:1v5hl:18185a:3;3m63;7b8f7>{t0on1<7<t=2ge>4>f34><n7k<;|q;b`<72;q6?hm519c8911f2l90q~6if;296~;4m00:4l5246;9a6=z{0:;6=4={<1f2?7?i27?;54j3:p=57=838p1>k<:0:b?820?3o87p}60383>7}:;l:1=5o4=555>`5<uz3;?7>52z?0`a<60h168:;5e29~w<632909w0=ka;3;e>;3?=0n?6s|91794?4|5:n<6<6n;<647?c43ty2<;4?:3y>7a2=91k0199=:d18yv?7?3:1>v3<d082<d=:<>;1i>5rs82;>5<5s49hi7?7a:?735<b;2wx5=750;0x96ee282j70:9f;g0?xu>8h0;6?u23b:95=g<5=<n6h=4}r;3f?6=:r78o84>8`9>03b=m:1v4>l:18185d:3;3m63;6b8f7>{t19n1<7<t=2`e>4>f34>=n7k<;|q:4`<72;q6?om519c8910f2l90q~7?f;296~;4j00:4l5247;9a6=z{0;;6=4={<1a2?7?i27?:54j3:p=47=838p1>l<:0:b?821?3o87p}61383>7}:;k:1=5o4=545>`5<uz3:?7>52z?0ec<60h168;;5e29~w<73290:w0:95;006>{t18?1<7<t=5`7>75534>:47?:2:p=40=838p19l<:311?826=3;>>6s|90594?4|5=h96?==;<626?72:2wx5<650;0x91d62;9970:?f;366>{t1831<7<t=5`3>75534>;o7?:2:p=4g=838p19oi:311?82713;>>6s|90`94?4|5=kn6?==;<632?72:2wx5<m50;3g82fl388>63<b38216=:;k?1=8=4=2`;>434349in7?:3:?0f`<6=:16?n?5141896e328?870=l7;367>;4kh0:9>523bf9505<5:n;6<;<;<1g7?72;278h;4>529>7a?=9<901>jl:070?85cn3;>?63<e38216=:;l?1=8=4=2g;>434349nn7?:5:?0a`<6=<16?k?5147896`328?>70=i7;361>;4nh0:98523gf9503<5=:;6<;:;<637?72=2wx5<j50;6x91b02l9019ol:311?836m3;>>63:1g8216=z{0;n6=4;{<6g2?c434>jn7<<2:?65a<6=;169??51418yv?6n3:18v3;d48f7>;3ih09??5250a9504<5<896<;<;|q:65<72=q68i:5e29>0d?=::8018?m:071?835;3;>?6s|93394?2|5=n86h=4=5c;>75534?:m7?:2:?661<6=:1v4<=:18782c:3o870:n7;006>;2900:9?525379505<uz39?7>54z?7`4<b;27?m;4=339>14>=9<8018<9:070?xu>:=0;69u24e29a6=:<h?1>><4=434>43534?9;7?:3:p=73=83>p19mi:d1891g32;9970;>6;366>;2:00:9>5rs805>5<3s4>hi7k<;<6b7?44:27>=84>539>17g=9<90q~7=7;290~;3km0n?63;a38177=:=8>1=8<4=40a>4343ty2>54?:5y>0fe=m:168l?52208907428?970;=c;367>{t1;31<7:t=5aa>`5<5=k;6?==;<726?72:27>>i4>529~w<4f290?w0:la;g0?82>n388>63:108217=:=;o1=8=4}r;1f?6=<r7?o44j3:?7=`<5;;169<>51408904a28?87p}62b83>1}:<j21i>5248f9664<5<:m6<;=;<704?72;2wx5?j50;6x91e02l90197l:311?837m3;>>63:308216=z{08n6=4;{<6`2?c434>2n7<<2:?64a<6=;169><51418yv?5n3:18v3;c48f7>;31h09??5251a9504<5<986<;<;|q:75<72=q68n:5e29>0<?=::8018>m:071?834<3;>?6s|92394?2|5=i86h=4=5;;>75534?;m7?:2:?670<6=:1v4==:18782d:3o870:67;006>;2800:9?525249505<uz38?7>54z?7g4<b;27?5;4=339>15>=9<8018=8:070?xu>;=0;69u24b29a6=:<0?1>><4=424>43534?847?:3:p=63=83>p19li:d1891?32;9970;?6;366>;2;00:9>5rs815>5<3s4>ii7k<;<6:7?44:27><84>539>16g=9<90q~7<7;290~;3jm0n?63;938177=:=9>1=8<4=41a>4343ty2?54?:5y>0ge=m:1684?52208906428?970;<c;367>{t1:31<7:t=5`a>`5<5=3;6?==;<736?72:27>?i4>529~w<5f290?w0:ma;g0?82?n388>63:008217=:=:o1=8=4}r;0f?6=<r7?n44j3:?7<`<5;;169=>51408905a28?87p}63b83>1}:<k21i>5249f9664<5=lm6<;=;<774?72;2wx5>j50;6x91d02l90196l:311?82am3;>>63:408216=z{09n6=4;{<6a2?c434>3n7<<2:?7ba<6=;1699<51418yv?4n3:18v3;b48f7>;30h09??524ga9504<5<>86<;=;|q:05<72;q685752208917f28?97p}64083>71|5=<?6?==;<6g<?72;27?h44>529>0ag=9<9019jm:070?82ck3;>?63;de8216=:<mo1=8=4=5fe>43434>n<7?:3:?7a4<6=:168h<5141891c428?870:j4;367>;3m<0:9>524d49505<5=o<6<;<;<6f<?72;27?i44>529>0`g=9<901>l=:076?85e=3;>963<b98210=:;kh1=8;4=2`f>432349h=7?:5:?0g1<6=<16?n95147896ef28?>70=ld;361>;4l90:98523e19503<5:n=6<;:;<1g=?72=278hn4>549>7a`=9<?01>k=:076?85b=3;>963<e98210=z{0>96=4>cz?726<5;;1698>5143896d528??70=m5;360>;4j10:99523c`9502<5:hn6<;;;<1`5?72<278o94>559>7f1=9<>01>mn:077?85dl3;>863<d18211=:;m91=8:4=2f5>433349o57?:4:?0`f<6==16?ih5146896c528??70=j5;360>;4m10:99523d`9502<5:on6<;;;<1e5?72<278j94>559>7c1=9<>01>hn:077?85al3;>86s|95194?4|5:km6<;7;<764?7?i2wx59:50;0x917e28?370;;4;3;e>{t1=?1<7?={<772?44:27>>=4>529>14>=9<9018?6:070?836i3;>?63:1c8216=:=8i1=8=4=43g>43434?:i7?:3:?66=<6=:1698?51438907a28?>70;=1;361>;2:;0:98525319503<5<8?6<;:;<711?72=27>>;4>549~w<212909w0;;7;006>;2:10:9?5rs864>5<2s4??47<<2:?7bg<6=;1699h51438917?28??70:>a;367>{t1=21<7?<{<77=?44:278io4>529>0`d=9<901>kj:070?82bk3;>?63<f08216=:<ln1=8=4=2d7>43434>ni7?:3:?0b2<6=:168hh5141896`f28?870:i0;367>;4nm0:9>524g39505<5=l96<;<;<6e7?72;27?<=4>559>055=9<>0q~7;9;2956}:==k1>><4=42e>43434?:=7?:3:?655<6=:169<<51418907428?870;>4;367>;29<0:9>525049505<5<;<6<;<;<713?72=27>>44>549>17g=9<?018<m:076?835k3;>963:2e8210=:=;o1=8:4=40e>43334?8<7?:4:p=1g=833p18:m:311?82783;>?63;028216=:<9<1=8:4=52:>43334>;o7?:4:?74c<6==168<<51468917228??7p}64c83>47|5<>h6?==;<6e0?72;27?j84>529>0c0=9<9019h8:070?82a03;>?63;f88216=:<ok1=8=4=5da>43434>;:7?:5:?74<<6=<168=m51478916a28?>70:>2;361>;39<0:985240:9503<5=;j6<;;;|q:0f<72oq699j52208904728?970;>f;360>;2:80:99525309502<5<886<;;;<710?72<27>>84>559>170=9<>018<8:077?83513;>863:2`8211=:=;h1=8:4=40`>43334?9h7?:4:p=1b=838p18;=:311?832;3o87p}64d83>7}:=<91>><4=47g>4353ty28k4?:3y>102=::8018;::d18yv?283:1>v3:548177=:=<h1=8<4}r;65?6=:r7>9;4=339>101=m:1v4;=:181832?388>63:598f7>{t1<91<7<t=47;>75534?>57k<;|q:11<72;q698752208903f2l90q~7:5;297~;2=h09??5254`9505<5<?o6<;<;|q:13<72;q698l519c8903d2l90q~7:7;296~;2=m0:4l5254g9a6=zuz8jm7>52z\1ed=::j31?i5rs3c4>5<5sW8j;63=c880e>{t:h<1<7<t^3c5?84d13927p}=a483>7}Y:h?01?m6:2:8yv4f<3:1>vP=a59>6f?=;?1v?o<:181[4f;279o44<5:p6d4=838pR?o=;<0`=?533ty9m<4?:3y]6d7<5;i26>=4}r0b4?6=:rT9m=522b;977=z{;3m6=4={_0:b>;5k008=6s|28g94?4|V;3n70<l9;13?xu5j;0;6?uQ2c0897e>2=?0q~<m1;296~X5j816>n75459~w7d72909wS<m0:?1g<<3;2wx>lh50;0xZ7ga348h57:=;|q1e`<72;qU>lk4=3a:>17<uz8jh7>52z\1ea=::j318=5rs3c`>5<5sW8jo63=c880b>{t:hh1<7<t^3ca?84d139n7p}=a983>7}Y:h201?m6:2`8yv4>l3:1>vP=9e9>6f?=:o1v?m?:181[4d8279o44:8:p6gb=838pR?lk;<0`=?323ty9nn4?:3y]6ge<5;i268:4}r0af?6=:rT9no522b;916=z{;hj6=4={_0ae>;5k00>=6s|2c;94?4|V;h270<l9;73?xu5j10;6?uQ2c:897e>2=l0q~<m7;296~X5j>16>n754d9~w7d12909wS<m6:?1g<<3l2wx>o;50;0xZ7d2348h57:l;|q1f1<72;qU>o:4=3a:>1d<uz8h47>52z\1g==::j31:=5rs3a4>5<5sW8h;63=c886b>{t:j<1<7<t^3a5?84d13?n7p}=c483>7}Y:j?01?m6:4f8yv4d<3:1>vP=c59>6f?==j1v?m<:181[4d;279o44:b:p6f4=838pR?m=;<0`=?3f3ty9o<4?:3y]6f7<5;i26874}r0aa?6=:rT9nh522b;913=z{;h86=4={_0a7>;5k00?m6s|57394?4|V<<:70<l9;ag?xu2>90;6?uQ572897e>2j80q~;mc;296~X2jj16>n752948yv32n3:1>vP:5g9>6f?=011v8l8:181[3e?279o44=809~w0d22909wS;m5:?1g<<5?o1v8l;:181[3e<279o44=7d9~w0d42909wS;m3:?1g<<5?m1v8l=:181[3e:279o44=7b9~w0d62909wS;m1:?1g<<5?k1v8l?:181[3e8279o44=7`9~w0ga2909wS;nf:?1g<<5?01v8oj:181[3fm279o44=799~w0gc2909wS;nd:?1g<<5?>1v8om:181[3fj279o44=749~w0gf2909wS;na:?1g<<5?=1v8o6:181[3f1279o44=729~w0g?2909wS;n8:?1g<<5?;1v8o8:181[3f?279o44=709~w0g12909wS;n6:?1g<<5?91v8o::181[3f=279o44=6g9~w0g32909wS;n4:?1g<<5>l1v8o<:181[3f;279o44=6e9~w0g52909wS;n2:?1g<<5>j1v8o?:181[3f8279o44=6`9~w0?a2909wS;6f:?1g<<5>01v87j:181[3>m279o44=699~w0?c2909wS;6d:?1g<<5>>1v87l:181[3>k279o44=679~w0?e2909wS;6b:?1g<<5><1v87n:181[3>i279o44=659~w0?>2909wS;69:?1g<<5>:1v877:181[3>0279o44=639~w0?02909wS;67:?1g<<5>81v87::181[3>=279o44=5g9~w0?32909wS;64:?1g<<5=l1v87<:181[3>;279o44=5e9~w0?52909wS;62:?1g<<5=j1v87>:181[3>9279o44=5c9~w0?72909wS;60:?1g<<5=h1v86i:181[3?n279o44=589~w0>b2909wS;7e:?1g<<5=11v86k:181[3?l279o44=569~w0>d2909wS;7c:?1g<<5=?1v86n:181[3?i279o44=559~w0>>2909wS;79:?1g<<5=:1v867:181[3?0279o44=539~w0>02909wS;77:?1g<<5=81v869:181[3?>279o44=519~w0>22909wS;75:?1g<<5<o1v86;:181[3?<279o44=4d9~w0>42909wS;73:?1g<<5<m1v86=:181[3?:279o44=4b9~w0>62909wS;71:?1g<<5<k1v89i:181[30n279o44=489~w01b2909wS;8e:?1g<<5<11v89k:181[30l279o44=469~w01d2909wS;8c:?1g<<5<?1v89m:181[30j279o44=449~w01f2909wS;8a:?1g<<5<=1v896:181[301279o44=429~w01?2909wS;88:?1g<<5<;1v898:181[30?279o44=409~w0112909wS;86:?1g<<5<91v8lm:181[3ej279o44=849~w0df2909wS;ma:?1g<<50=1v8l6:181[3e1279o44=829~w0d?2909wS;m8:?1g<<50;1v8ol:181[3fk279o44=779~w0g62909wS;n1:?1g<<5>k1v879:181[3>>279o44=619~w0>e2909wS;7b:?1g<<5=<1v86?:181[3?8279o44=4`9~w0122909wS;85:?1g<<5;o1vqc?n6883>47|,;2<6ljn;I0:4>{i9h<j6=4<{%0;3?gci2B95=5rn0c5f?6=;r.94:4nd`9K6<6<ug;j:n4?:2y'6=1=imk0D?7?;|l2e3b=83>pD?7?;|l2e3c=83>pD?7?;|l2e3`=83>pD?7?;|l2e26=83>pD?7?;|l2e27=83>pD?7?;|l2e24=83>pD?7?;|l2e25=83>pD?7?;|l2e22=83>pD?7?;|l2e23=83>pD?7?;|l2e20=83>pD?7?;|l2e21=83>pD?7?;|l2e2>=83>pD?7?;|l2e2?=83>pD?7?;|l2e2g=83>pD?7?;|l2e2d=83>pD?7?;|l2e2e=83>pD?7?;|l2e2b=83>pD?7?;|l2e2c=83>p(?68:`fb?M4>82we=l9i:187!4??3kom6F=919~j4g?83:18v*=868b`d=O:0:0qc?n8083>1}#:1=1mio4H3;3?xh6i181<7;t$3:4>dbf3A82<6sa1`:0>5<2s-83;7oka:J1=5=zf8k387>55z&1<2<flh1C>4>4}o3b<0<72<q/>595aec8L7?73td:m5850;7x 7>02hnj7E<60:m5d>0290>w)<77;cge>N5191vb<o78;291~"50>0jhl5G2828yk7f000;68u+2959eag<@;3;7p`>a9c94?3|,;2<6ljn;I0:4>{i9h2i6=4:{%0;3?gci2B95=5rn0c;g?6==r.94:4nd`9K6<6<ug;j4i4?:4y'6=1=imk0D?7?;|l2e=c=83?p(?68:`fb?M4>82we=l6i:186!4??3kom6F=919~j4g>83:19v*=868b`d=O:0:0qc?n9083>0}#:1=1mio4H3;3?xh6i081<7;t$3:4>dbf3A82<6sa1`;0>5<?sA82<6sa1`;7>5<?sA82<6sa1`;6>5<?sA82<6sa1`;5>5<5sA82<6sa1`;4>5<5sA;356F=919~j4g>03:1>vF>889K6<6<ug;j544?:3yK5=?<@;3;7p`>a8c94?4|@8227E<60:m5d?e2909wE?79:J1=5=zf8k2o7>52zJ2<<=O:0:0qc?n9e83>7}O9130D?7?;|l2e<c=838pD<66;I0:4>{i9h3m6=4={I3;=>N5191vb<on0;296~N6001C>4>4}o3be4<72;qC=574H3;3?xh6ih81<7<tH0::?M4>82we=lo<:181M7?12B95=5rn0cb0?6=:rB:445G2828yk7fi<0;6?uG19;8L7?73td:ml850;0xL4>>3A82<6sa1`c4>5<5sA;356F=919~j4gf03:1>vF>889K6<6<ug;jm44?:3yK5=?<@;3;7p`>a`c94?4|@8227E<60:m5dge2909wE?79:J1=5=zf8kjo7>52zJ2<<=O:0:0qc?nae83>7}O9130D?7?;|l2edc=838pD<66;I0:4>{i9hkm6=4={I3;=>N5191vb<om0;296~N6001C>4>4}o3bf4<72;qC=574H3;3?xh6ik81<7<tH0::?M4>82we=ll<:181M7?12B95=5rn0ca0?6=:rB:445G2828yk7fj<0;6?uG19;8L7?73td:mo850;0xL4>>3A82<6sa1``4>5<5sA;356F=919~j4ge03:1>vF>889K6<6<ug;jn44?:3yK5=?<@;3;7p`>acc94?4|@;3;7p`>ac`94?4|@;3;7p`>aca94?4|@;3;7p`>acf94?4|@;3;7p`>acg94?4|@;3;7p`>acd94?4|@;3;7p`>ab294?4|@;3;7p`>ab394?4|@;3;7p`>ab094?4|@;3;7p`>ab194?4|@;3;7p`>ab694?4|@;3;7p`>ab794?4|@;3;7p`>ab494?4|@;3;7p`>ab594?4|@;3;7p`>ab:94?4|@;3;7p`>ab;94?4|@;3;7p`>abc94?4|@;3;7p`>ab`94?4|@;3;7p`>aba94?4|@;3;7p`>abf94?4|@;3;7p`>abg94?4|@;3;7p`>abd94?4|@;3;7p`>ae294?4|@;3;7p`>ae394?4|@;3;7p`>ae094?4|@;3;7p`>ae194?4|@;3;7p`>ae694?4|@;3;7p`>ae794?4|@;3;7p`>ae494?4|@;3;7p`>ae594?4|@;3;7p`>ae:94?4|@;3;7p`>ae;94?4|@;3;7p`>aec94?4|@;3;7p`>ae`94?4|@;3;7p`>aea94?4|@;3;7p`>75094?7|@;3;7p`>75194?7|@;3;7p`>75694?7|@;3;7p`>75794?4|@8227)<77;cge>N5191vb<9;6;296~N6001/>595aec8L7?73td:;9950;0xL4>>3-83;7oka:J1=5=zf8=?47>52zJ2<<=#:1=1mio4H3;3?xh6?=31<7<tH0::?!4??3kom6F=919~j413i3:1>vF>889'6=1=imk0D?7?;|l231d=838pD<66;%0;3?gci2B95=5rn057g?6=:rB:445+2959eag<@;3;7p`>75f94?4|@8227)<77;cge>N5191vb<9;e;296~N6001/>595aec8L7?73td:;9h50;0xL4>>3-83;7oka:J1=5=zf8=><7>52zJ2<<=#:1=1mio4H3;3?xh6?<;1<7<tH0::?!4??3kom6F=919~j412:3:1>vF>889'6=1=imk0D?7?;|l2305=838pD<66;%0;3?gci2B95=5rn0560?6=:rB:445+2959eag<@;3;7p`>74794?4|@8227)<77;cge>N5191vb<9:6;296~N6001/>595aec8L7?73td:;8950;0xL4>>3-83;7oka:J1=5=zf8=>47>52zJ2<<=#:1=1mio4H3;3?xh6?<31<7<tH0::?!4??3kom6F=919~j412i3:1>vF>889'6=1=imk0D?7?;|l230d=838pD<66;%0;3?gci2B95=5rn056g?6=:rB:445+2959eag<@;3;7p`>74f94?4|@8227)<77;cge>N5191vb<9:e;296~N6001/>595aec8L7?73td:;8h50;0xL4>>3-83;7oka:J1=5=zf8==<7>52zJ2<<=#:1=1mio4H3;3?xh6??;1<7<tH0::?!4??3kom6F=919~j411:3:1>vF>889'6=1=imk0D?7?;|l2335=838pD<66;%0;3?gci2B95=5rn0550?6=:rB:445+2959eag<@;3;7p`>77794?4|@8227)<77;cge>N5191vb<996;296~N6001/>595aec8L7?73td:;;950;1xL4>>3A82<6sa164;>5<4sA;356F=919~j41113:1?vF>889K6<6<ug;<:l4?:2yK5=?<@;3;7p`>77`94?5|@8227E<60:m520d2908wE?79:J1=5=zf8==h7>53zJ2<<=O:0:0qc?86d83>6}O9130D?7?;|l233`=839pD<66;I0:4>{i9>=26=4={I0:4>{i9>=j6=4={I0:4>{i9>=i6=4={I0:4>{i9>=h6=4={I0:4>{i9>=o6=4={I0:4>{i9>=n6=4={I0:4>{i9>=m6=4={I0:4>{i9>2;6=4={I0:4>{i9>2:6=4={I0:4>{i9>296=4={I0:4>{i9>286=4={I0:4>{i9>2?6=4={I0:4>{i9>2>6=4={I0:4>{i9>2=6=4={I0:4>{i9>2<6=4={I0:4>{i9>236=4={I0:4>{i9>226=4={I0:4>{i9>2j6=4={I0:4>{i9>2i6=4={I0:4>{i9>2h6=4={I0:4>{i9>2o6=4={I0:4>{i9>2n6=4={I0:4>{i9>2m6=4={I0:4>{i9>3;6=4={I0:4>{i9>3:6=4={I0:4>{i9>396=4={I0:4>{i9>386=4={I0:4>{i9>3?6=4>{I0:4>{i9>3>6=4>{I0:4>{i9>3=6=4>{I0:4>{i9>3<6=4>{I0:4>{i9>336=4>{I0:4>{i9>326=4>{I0:4>{i9>3j6=4>{I0:4>{i9>3i6=4>{I0:4>{i9>3h6=4>{I0:4>{i9>3o6=4>{I0:4>{i9>3n6=4>{I0:4>{i9>3m6=4>{I0:4>{i9>k;6=4>{I0:4>{i9>k:6=4>{I0:4>{i9>k96=4>{I0:4>{i9>k86=4>{I0:4>{i9>k?6=4>{I0:4>{i9>k>6=4>{I0:4>{i9>k=6=4>{I0:4>{i9>k<6=4>{I0:4>{i9>k36=4>{I0:4>{i9>k26=4>{I0:4>{i9>kj6=4>{I0:4>{i9>ki6=4>{I0:4>{i9>kh6=4>{I0:4>{i9>ko6=4>{I0:4>{i9>kn6=4>{I0:4>{i9>km6=4>{I0:4>{i9>h;6=4>{I0:4>{i9>h:6=4>{I0:4>{i9>h96=4>{I0:4>{i9>h86=4>{I0:4>{i9>h?6=4>{I0:4>{i9>h>6=4>{I0:4>{i9>h=6=4>{I0:4>{i9>h<6=4>{I0:4>{i9>h36=4>{I0:4>{i9>h26=4>{I0:4>{i9>hj6=4>{I0:4>{i9>hi6=4>{I0:4>{i9>hh6=4>{I0:4>{i9>ho6=4>{I0:4>{i9>hn6=4>{I0:4>{i9>hm6=4>{I0:4>{i9>i;6=4>{I0:4>{i9>i:6=4>{I0:4>{i9>i96=4>{I0:4>{i9>i86=4>{I0:4>{i9>i?6=4={I0:4>{i9>i>6=4>{I0:4>{i9>i=6=4>{I0:4>{i9>i<6=4>{I0:4>{i9>i36=4>{I0:4>{i9>i26=4>{I0:4>{i9>ij6=4>{I0:4>{i9>ii6=4>{I0:4>{i9>ih6=4>{I0:4>{i9>io6=4>{I0:4>{i9>in6=4>{I0:4>{i9>im6=4>{I0:4>{i9>n;6=4>{I0:4>{i9>n:6=4>{I0:4>{i9>n96=4>{I0:4>{i9>n86=4>{I0:4>{i9>n?6=4>{I0:4>{i9>n>6=4>{I0:4>{i9>n=6=4>{I0:4>{i9>n<6=4>{I0:4>{i9>n36=4>{I0:4>{i9>n26=4>{I0:4>{i9>nj6=4>{I0:4>{i9>ni6=4>{I0:4>{i9>nh6=4>{I0:4>{i9>no6=4>{I0:4>{i9>nn6=4>{I0:4>{i9>nm6=4>{I0:4>{i9>o;6=4>{I0:4>{i9>o:6=4>{I0:4>{i9>o96=4>{I0:4>{i9>o86=4>{I0:4>{i9>o?6=4>{I0:4>{i9>o>6=4>{I0:4>{i9>o=6=4>{I0:4>{i9>o<6=4>{I0:4>{i9>o36=4>{I0:4>{i9>o26=4>{I0:4>{i9>oj6=4>{I0:4>{i9>oi6=4>{I0:4>{i9>oh6=4>{I0:4>{i9>oo6=4>{I0:4>{i9>on6=4>{I0:4>{i9>om6=4>{I0:4>{i9>l;6=4>{I0:4>{i9>l:6=4>{I0:4>{i9>l96=4>{I0:4>{i9>l86=4>{I0:4>{i9>l?6=4>{I0:4>{i9>l>6=4>{I0:4>{i9>l=6=4>{I0:4>{i9>l<6=4>{I0:4>{i9>l36=4>{I0:4>{i9>l26=4>{I0:4>{i9>lj6=4>{I0:4>{i9>li6=4>{I0:4>{i9>lh6=4>{I0:4>{i9>lo6=4>{I0:4>{i9>ln6=4>{I0:4>{i9>lm6=4>{I0:4>{i91:;6=4>{I0:4>{i91::6=4>{I0:4>{i91:96=4>{I0:4>{i91:86=4>{I0:4>{i91:?6=4>{I0:4>{i91:>6=4>{I0:4>{i91:=6=4>{I0:4>{i91:<6=4>{I0:4>{i91:36=4>{I0:4>{i91:26=4>{I0:4>{i91:j6=4>{I0:4>{i91:i6=4>{I0:4>{i91:h6=4>{I0:4>{i91:o6=4>{I0:4>{i91:n6=4>{I0:4>{i91:m6=4>{I0:4>{i91;;6=4>{I0:4>{i91;:6=4>{I0:4>{i91;96=4>{I0:4>{i91;86=4>{I0:4>{i91;?6=4={%0;3?gci2B95=5rn0:21?6=:r.94:4nd`9K6<6<ug;3=;4?:3y'6=1=imk0D?7?;|l2<41=838p(?68:`fb?M4>82we=5?7:181!4??3kom6F=919~j4>613:1>v*=868b`d=O:0:0qc?71`83>7}#:1=1mio4H3;3?xh608h1<7<t$3:4>dbf3A82<6sa193`>5<5s-83;7oka:J1=5=zf82:h7>52z&1<2<flh1C>4>4}o3;5`<72;q/>595aec8L7?73td:4<h50;0x 7>02hnj7E<60:m5=472909w)<77;cge>N5191vb<6=1;296~"50>0jhl5G2828yk7?:;0;6?u+2959eag<@;3;7p`>83194?4|,;2<6ljn;I0:4>{i918?6=4={%0;3?gci2B95=5rn0:11?6=9rB95=5rn0:12?6=9rB95=5rn0:13?6=9rB95=5rn0:1<?6=9rB95=5rn0:1=?6=9rB95=5rn0:1e?6=9rB95=5rn0:1f?6=9rB95=5rn0:1g?6=9rB95=5rn0:1`?6=9rB95=5rn0:1a?6=9rB95=5rn0:1b?6=9rB95=5rn0:04?6=9rB95=5rn0:05?6=9rB95=5rn0:06?6=9rB95=5rn0:07?6=9rB95=5rn0:00?6=9rB95=5rn0:01?6=9rB95=5rn0:02?6=9rB95=5rn0:03?6=:r.94:4nd`9K6<6<ug;3?54?:0yK6<6<ug;3?44?:0yK6<6<ug;3?l4?:0yK6<6<ug;3?o4?:0yK6<6<ug;3?n4?:0yK6<6<ug;3?i4?:0yK6<6<ug;3?h4?:0yK6<6<ug;3?k4?:0yK6<6<ug;38=4?:0yK6<6<ug;38<4?:0yK6<6<ug;38?4?:0yK6<6<ug;38>4?:0yK6<6<ug;3894?:0yK6<6<ug;3884?:0yK6<6<ug;38;4?:0yK6<6<ug;38:4?:0yK6<6<ug;3854?:0yK6<6<ug;3844?:0yK6<6<ug;38l4?:0yK6<6<ug;38o4?:0yK6<6<ug;38n4?:0yK6<6<ug;38i4?:0yK6<6<ug;38h4?:0yK6<6<ug;38k4?:0yK6<6<ug;39=4?:0yK6<6<ug;39<4?:0yK6<6<ug;39?4?:0yK6<6<ug;39>4?:0yK6<6<ug;3994?:0yK6<6<ug;3984?:0yK6<6<ug;39;4?:0yK6<6<ug;39:4?:0yK6<6<ug;3954?:0yK6<6<ug;3944?:0yK6<6<ug;39l4?:0yK6<6<ug;39o4?:0yK6<6<ug;39n4?:0yK6<6<ug;39i4?:0yK6<6<ug;39h4?:0yK6<6<ug;39k4?:0yK6<6<ug;3:=4?:0yK6<6<ug;3:<4?:0yK6<6<ug;3:?4?:0yK6<6<ug;3:>4?:0yK6<6<ug;3:94?:0yK6<6<ug;3:84?:0yK6<6<ug;3:;4?:0yK6<6<ug;3::4?:0yK6<6<ug;3:54?:0yK6<6<ug;3:44?:0yK6<6<ug;3:l4?:0yK6<6<ug;3:o4?:0yK6<6<ug;3:n4?:0yK6<6<ug;3:i4?:0yK6<6<ug;3:h4?:0yK6<6<ug;3:k4?:0yK6<6<ug;3;=4?:0yK6<6<ug;3;<4?:0yK6<6<ug;3;?4?:0yK6<6<ug;3;>4?:0yK6<6<ug;3;94?:0yK6<6<ug;3;84?:0yK6<6<ug;3;;4?:0yK6<6<ug;3;:4?:0yK6<6<ug;3;54?:0yK6<6<ug;3;44?:0yK6<6<ug;3;l4?:0yK6<6<ug;3;o4?:0yK6<6<ug;3;n4?:0yK6<6<ug;3;i4?:0yK6<6<ug;3;h4?:0yK6<6<ug;3;k4?:0yK6<6<ug;34=4?:0yK6<6<ug;34<4?:0yK6<6<ug;34?4?:0yK6<6<ug;34>4?:0yK6<6<ug;3494?:0yK6<6<ug;3484?:0yK6<6<ug;34;4?:0yK6<6<ug;34:4?:3y'6=1=imk0D?7?;|l2<=>=838p(?68:`fb?M4>82we=566:181!4??3kom6F=919~j4>?i3:1>v*=868b`d=O:0:0qc?78c83>7}#:1=1mio4H3;3?xh601i1<7<t$3:4>dbf3A82<6sa19:g>5<5s-83;7oka:J1=5=zf823i7>52z&1<2<flh1C>4>4}o3;<c<72;q/>595aec8L7?73td:44>50;0x 7>02hnj7E<60:m5=?62909w)<77;cge>N5191vb<662;296~"50>0jhl5G2828yk7?1:0;6?u+2959eag<@;3;7p`>88694?4|,;2<6ljn;I0:4>{i913>6=4={%0;3?gci2B95=5rn0::2?6=:r.94:4nd`9K6<6<ug;35:4?:3y'6=1=imk0D?7?;|l2<<>=83;pD?7?;|l2<<?=83;pD?7?;|l2<<g=83;pD?7?;|l2<<d=83;pD?7?;|l2<<e=83;pD?7?;|l2<<b=83;pD?7?;|l2<<c=83;pD?7?;|l2<<`=83;pD?7?;|l2<d6=83;pD?7?;|l2<d7=83;pD?7?;|l2<d4=83;pD?7?;|l2<d5=83;pD?7?;|l2<d2=83;pD?7?;|l2<d3=83;pD?7?;|l2<d0=83;pD?7?;|l2<d1=83;pD?7?;|l2<d>=83;pD?7?;|l2<d?=83;pD?7?;|l2<dg=838pD?7?;|l2<dd=838p(?68:`fb?M4>82we=5ol:181!4??3kom6F=919~j4>fl3:1>v*=868b`d=O:0:0qc?7ad83>7}#:1=1mio4H3;3?xh60hl1<7<t$3:4>dbf3A82<6sa19`3>5<5s-83;7oka:J1=5=zf82i=7>52z&1<2<flh1C>4>4}o3;f7<72;q/>595aec8L7?73td:4o=50;0x 7>02hnj7E<60:m5=d32909w)<77;cge>N5191vb<6m5;296~"50>0jhl5G2828yk7?j?0;6?u+2959eag<@;3;7p`>8c594?4|,;2<6ljn;I0:4>{i91h36=4={%0;3?gci2B95=5rn0:a=?6=:r.94:4nd`9K6<6<ug;3nl4?:3y'6=1=imk0D?7?;|l2<gd=838p(?68:`fb?M4>82we=5ll:181!4??3kom6F=919~j4>am3:19vF>889K6<6<ug;3ok4?:3y'6=1=imk0D?7?;|l2<a6=838p(?68:`fb?M4>82we=5j>:181!4??3kom6F=919~j4>c:3:1>v*=868b`d=O:0:0qc?7d283>7}#:1=1mio4H3;3?xh60m>1<7<tH3;3?xh60m?1<7<tH3;3?xh60m<1<7<tH3;3?xh60m=1<7<tH3;3?xh60m21<7<tH3;3?xh60m31<7<t$3:4>dbf3A82<6sa19fb>5<5s-83;7oka:J1=5=zf82on7>52z&1<2<flh1C>4>4}o3;`f<72;q/>595aec8L7?73td:4ij50;0x 7>02hnj7E<60:m5=bb2909w)<77;cge>N5191vb<6kf;296~"50>0jhl5G2828yk7?m90;6?u+2959eag<@;3;7p`>8d394?4|,;2<6ljn;I0:4>{i91o96=4={%0;3?gci2B95=5rn0:f7?6=:r.94:4nd`9K6<6<ug;3i94?:3y'6=1=imk0D?7?;|l2<`3=838p(?68:`fb?M4>82we=5k9:181!4??3kom6F=919~j4>b?3:1>v*=868b`d=O:0:0qc?7e983>7}#:1=1mio4H3;3?xh60l31<7<t$3:4>dbf3A82<6sa19gb>5<5s-83;7oka:J1=5=zf82mh7>51zJ1=5=zf82mj7>51zJ1=5=zf83;<7>51zJ2<<=O:0:0qc?60083>4}O:0:0qc?60383>4}O:0:0qc?60283>4}O:0:0qc?60583>4}O:0:0qc?60483>4}O:0:0qc?60783>4}O:0:0qc?60683>4}O:0:0qc?60983>4}O:0:0qc?60883>4}O:0:0qc?60`83>4}O:0:0qc?60c83>4}O:0:0qc?60b83>4}O:0:0qc?60e83>4}O:0:0qc?60d83>4}O:0:0qc?60g83>4}O:0:0qc?61183>4}O:0:0qc?61083>4}O:0:0qc?61383>4}O:0:0qc?61283>4}O:0:0qc?61583>4}O:0:0qc?61483>4}O:0:0qc?61783>4}O:0:0qc?61683>4}O:0:0qc?61983>4}O:0:0qc?61883>4}O:0:0qc?61`83>4}O:0:0qc?61c83>4}O:0:0qc?61b83>4}O:0:0qc?61e83>4}O:0:0qc?61d83>4}O:0:0qc?61g83>4}O:0:0qc?62183>4}O:0:0qc?62083>4}O:0:0qc?62383>4}O:0:0qc?62283>4}O:0:0qc?62583>4}O:0:0qc?62483>4}O:0:0qc?62783>4}O:0:0qc?62683>4}O:0:0qc?62983>4}O:0:0qc?62883>4}O:0:0qc?62`83>4}O:0:0qc?62c83>4}O:0:0qc?62b83>4}O:0:0qc?62e83>4}O:0:0qc?62d83>4}O:0:0qc?62g83>4}O:0:0qc?63183>4}O:0:0qc?63083>4}O:0:0qc?63383>4}O:0:0qc?63283>4}O:0:0qc?63583>4}O:0:0qc?63483>4}O:0:0qc?63783>4}O:0:0qc?63683>4}O:0:0qc?63983>4}O:0:0qc?63883>4}O:0:0qc?63`83>4}O:0:0qc?63c83>4}O:0:0qc?63b83>4}O:0:0qc?63e83>4}O:0:0qc?63d83>4}O:0:0qc?63g83>4}O:0:0qc?64183>4}O:0:0qc?64083>4}O:0:0qc?64383>4}O:0:0qc?64283>4}O:0:0qc?64583>4}O:0:0qc?64483>4}O:0:0qc?64783>4}O:0:0qc?64683>4}O:0:0qc?64983>4}O:0:0qc?64883>4}O:0:0qc?64`83>4}O:0:0qc?64c83>4}O:0:0qc?64b83>4}O:0:0qc?64e83>4}O:0:0qc?64d83>4}O:0:0qc?64g83>4}O:0:0qc?65183>4}O:0:0qc?65083>4}O:0:0qc?65383>4}O:0:0qc?65283>4}O:0:0qc?65583>4}O:0:0qc?65483>4}O:0:0qc?65783>4}O:0:0qc?65683>4}O:0:0qc?65983>4}O:0:0qc?65883>4}O:0:0qc?65`83>4}O:0:0qc?65c83>4}O:0:0qc?65b83>4}O:0:0qc?65e83>4}O:0:0qc?65d83>4}O:0:0qc?65g83>4}O:0:0qc?66183>4}O:0:0qc?66083>4}O:0:0qc?66383>4}O:0:0qc?66283>4}O:0:0qc?66583>4}O:0:0qc?66483>4}O:0:0qc?66783>4}O:0:0qc?66683>4}O:0:0qc?66983>4}O:0:0qc?66883>4}O:0:0qc?66`83>4}O:0:0qc?66c83>4}O:0:0qc?66b83>4}O:0:0qc?66e83>4}O:0:0qc?66d83>4}O:0:0qc?66g83>4}O:0:0qc?67183>4}O:0:0qc?67083>4}O:0:0qc?67383>4}O:0:0qc?67283>4}O:0:0qc?67583>4}O:0:0qc?67483>4}O:0:0qc?67783>4}O:0:0qc?67683>4}O:0:0qc?67983>4}O:0:0qc?67883>4}O:0:0qc?67`83>4}O:0:0qc?67c83>4}O:0:0qc?67b83>4}O:0:0qc?67e83>4}O:0:0qc?67d83>4}O:0:0qc?67g83>4}O:0:0qc?68183>4}O:0:0qc?68083>4}O:0:0qc?68383>4}O:0:0qc?68283>4}O:0:0qc?68583>4}O:0:0qc?68483>4}O:0:0qc?68783>4}O:0:0qc?68683>4}O:0:0qc?68983>4}O:0:0qc?68883>4}O:0:0qc?68`83>4}O:0:0qc?68c83>4}O:0:0qc?68b83>4}O:0:0qc?68e83>4}O:0:0qc?68d83>4}O:0:0qc?68g83>4}O:0:0qc?69183>4}O:0:0qc?69083>4}O:0:0qc?69383>4}O:0:0qc?69283>4}O:0:0qc?69583>4}O:0:0qc?69483>4}O:0:0qc?69783>4}O:0:0qc?69683>4}O:0:0qc?69983>4}O:0:0qc?69883>4}O:0:0qc?69`83>4}O:0:0qc?69c83>4}O:0:0qc?69b83>4}O:0:0qc?69e83>4}O:0:0qc?69d83>4}O:0:0qc?69g83>4}O:0:0qc?6a183>4}O:0:0qc?6a083>4}O:0:0qc?6a383>4}O:0:0qc?6a283>4}O:0:0qc?6a583>4}O:0:0qc?6a483>4}O:0:0qc?6a783>4}O:0:0qc?6a683>4}O:0:0qc?6a983>4}O:0:0qc?6a883>4}O:0:0qc?6a`83>4}O:0:0qc?6ac83>4}O:0:0qc?6ab83>4}O:0:0qc?6ae83>4}O:0:0qc?6ad83>4}O:0:0qc?6ag83>4}O:0:0qc?6b183>4}O:0:0qc?6b083>4}O:0:0qc?6b383>4}O:0:0qc?6b283>4}O:0:0qc?6b583>4}O:0:0qc?6b483>4}O:0:0qc?6b783>4}O:0:0qc?6b683>4}O:0:0qc?6b983>4}O:0:0qc?6b883>4}O:0:0qc?6b`83>4}O:0:0qc?6bc83>4}O:0:0qc?6bb83>4}O:0:0qc?6be83>4}O:0:0qc?6bd83>4}O:0:0qc?6bg83>4}O:0:0qc?6c183>4}O:0:0qc?6c083>4}O:0:0qc?6c383>4}O:0:0qc?6c283>4}O:0:0qc?6c583>4}O:0:0qc?6c483>4}O:0:0qc?6c783>4}O:0:0qc?6c683>4}O:0:0qc?6c983>4}O:0:0qc?6c883>4}O:0:0qc?6c`83>4}O:0:0qc?6cc83>4}O:0:0qc?6cb83>4}O:0:0qc?6ce83>4}O:0:0qc?6cd83>4}O:0:0qc?6cg83>4}O:0:0qc?6d183>4}O:0:0qc?6d083>4}O:0:0qc?6d383>4}O:0:0qc?6d283>4}O:0:0qc?6d583>4}O:0:0qc?6d483>4}O:0:0qc?6d783>4}O:0:0qc?6d683>4}O:0:0qc?6d983>4}O:0:0qc?6d883>4}O:0:0qc?6d`83>4}O:0:0qc?6dc83>4}O:0:0qc?6db83>4}O:0:0qc?6de83>4}O:0:0qc?6dd83>4}O:0:0qc?6dg83>4}O:0:0qc?6e183>4}O:0:0qc?6e083>4}O:0:0qc?6e383>4}O:0:0qc?6e283>4}O:0:0qc?6e583>4}O:0:0qc?6e483>4}O:0:0qc?6e783>4}O:0:0qc?6e683>4}O:0:0qc?6e983>4}O:0:0qc?6e883>4}O:0:0qc?6e`83>4}O:0:0qc?6ec83>4}O:0:0qc?6eb83>4}O:0:0qc?6ee83>4}O:0:0qc?6ed83>4}O:0:0qc?6eg83>4}O:0:0qc?6f183>4}O:0:0qc?6f083>4}O:0:0qc?6f383>4}O:0:0qc?6f283>4}O:0:0qc?6f583>4}O:0:0qc?6f483>4}O:0:0qc?6f783>4}O:0:0qc?6f683>4}O:0:0qc?6f983>4}O:0:0qc?6f883>4}O:0:0qc?6f`83>4}O:0:0qc?6fc83>4}O:0:0qc?6fb83>4}O:0:0qc?6fe83>4}O:0:0qc?6fd83>4}O:0:0qc?6fg83>4}O:0:0qc?n0183>4}O:0:0qc?n0083>4}O:0:0qc?n0383>4}O:0:0qc?n0283>4}O:0:0qc?n0583>4}O:0:0qc?n0483>4}O:0:0qc?n0783>4}O:0:0qc?n0683>4}O:0:0qc?n0983>4}O:0:0qc?n0883>4}O:0:0qc?n0`83>4}O:0:0qc?n0c83>4}O:0:0qc?n0b83>4}O:0:0qc?n0e83>4}O:0:0qc?n0d83>4}O:0:0qc?n0g83>4}O:0:0qc?n1183>4}O:0:0qc?n1083>4}O:0:0qc?n1383>4}O:0:0qc?n1283>4}O:0:0qc?n1583>4}O:0:0qc?n1483>4}O:0:0qc?n1783>4}O:0:0qc?n1683>4}O:0:0qc?n1983>4}O:0:0qc?n1883>4}O:0:0qc?n1`83>4}O:0:0qc?n1c83>4}O:0:0qc?n1b83>4}O:0:0qc?n1e83>4}O:0:0qc?n1d83>4}O:0:0qc?n1g83>4}O:0:0qc?n2183>4}O:0:0qc?n2083>4}O:0:0qc?n2383>4}O:0:0qc?n2283>4}O:0:0qc?n2583>4}O:0:0qc?n2483>4}O9130D?7?;|l2e70=83;pD?7?;|l2e71=83;pD?7?;|l2e7>=83;pD?7?;|l2e7?=83;pD?7?;|l2e7g=83;pD?7?;|l2e7d=83;pD?7?;|l2e7e=83;pD?7?;|l2e7b=83;pD?7?;|l2e7c=83;pD<66;I0:4>{i9h8m6=4>{I3;=>N5191vb<o<0;295~N6001C>4>4}o3b74<728qC=574H3;3?xh6i:81<7?tH0::?M4>82we=l=<:182M7?12B95=5rn0c00?6=9rB:445G2828yk7f;<0;6<uG19;8L7?73td:m>850;3xL4>>3A82<6sa1`14>5<6sA;356F=919~j4g403:1=vF>889K6<6<ug;j?44?:0yK5=?<@;3;7p`>a2c94?7|@8227E<60:m5d5e290:wE?79:J1=5=zf8k8o7>51zJ2<<=O:0:0qc?n3e83>4}O9130D?7?;|l2e6c=83;pD<66;I0:4>{i9h9m6=4>{I3;=>N5191vb<o;0;295~N6001C>4>4}o3b04<728qC=574H3;3?xh6i=81<7?tH0::?M4>82we=l:<:182M7?12B95=5rn0c70?6=9rB:445G2828yk7f<<0;6<uG19;8L7?73td:m9850;3xL4>>3A82<6sa1`64>5<6sA;356F=919~j4g303:1=vF>889K6<6<ug;j844?:0yK5=?<@;3;7p`>a5c94?7|@8227E<60:m5d2e290:wE?79:J1=5=zf8k?o7>51zJ2<<=O:0:0qc?n4e83>4}O9130D?7?;|l2e1c=83;pD<66;I0:4>{i9h>m6=4>{I3;=>N5191vb<o:0;295~N6001C>4>4}o3b14<728qC=574H3;3?xh6i<81<7?tH0::?M4>82we=l;<:182M7?12B95=5rn0c60?6=9rB95=5rn0c61?6=9rB95=5rn0c62?6=9rB95=5rn0c63?6=9rB95=5rn0c6<?6=9rB:445G2828yk7f=00;6<uG19;8L7?73td:m8o50;3xL7?73td:m8l50;3xL4>>3A82<6sa1`7`>5<6sA;356F=919~j4g2l3:1=vF=919~j4g2m3:1=vF=919~j4g2n3:1=vF=919~j4g183:1=vF=919~j4g193:1=vF=919~j4g1:3:1=vF=919~j4g1;3:1=vF=919~j4g1<3:1=vF=919~j4g1=3:1=vF=919~j4g1>3:1=vF=919~j4g1?3:1=vF=919~j4g103:1=vF=919~j2`7290:w)<77;cge>N5191vb:h>:182!4??3kom6F=919~j2`5290:w)<77;cge>N5191vb:h<:182!4??3kom6F=919~j2`3290:w)<77;cge>N5191vb:h::182!4??3kom6F=919~j2`1290:w)<77;cge>N5191vb:h8:182!4??3kom6F=919~j2`?290:w)<77;cge>N5191vb:h6:182!4??3kom6F=919~j2`f290:w)<77;cge>N5191vb:hm:182!4??3kom6F=919~j2`d290:w)<77;cge>N5191vb:hk:182!4??3kom6F=919~j2`b290:w)<77;cge>N5191vb:hi:182!4??3kom6F=919~j=67290:w)<77;cge>N5191vb5>>:182!4??3kom6F=919~j<7b290:w)<77;cge>N5191vb4?i:182!4??3kom6F=919~j<47290:w)<77;cge>N5191vb4<>:182!4??3kom6F=919~j<45290:w)<77;cge>N5191vb4<<:182!4??3kom6F=919~j<43290:w)<77;cge>N5191vb4<::182!4??3kom6F=919~j<41290:w)<77;cge>N5191vb4<8:182!4??3kom6F=919~j<4?290:w)<77;cge>N5191vb4<6:182!4??3kom6F=919~j<4f290:w)<77;cge>N5191vb4<m:182!4??3kom6F=919~j<4d290:w)<77;cge>N5191vb4<k:182!4??3kom6F=919~j<4b290:w)<77;cge>N5191vbl>6:182M4>82wem=o50;3xL7?73tdj<o4?:0yK6<6<ugk;o7>51zJ1=5=zfh:o6=4>{I0:4>{ii9o1<7?tH3;3?xhf8o0;6<uG2828ykg683:1=vF=919~jd76290:wE<60:me44=83;pD?7?;|lb56<728qC>4>4}oc20?6=9rB95=5rn`36>5<6sA82<6saa0494?7|@;3;7p`n1683>4}O:0:0qco>8;295~N5191vbn=m:182M4>82weo>m50;3xL7?73tdh?i4?:0yK6<6<ugi8i7>51zJ1=5=zfj9m6=4>{I0:4>{ik=:1<7?tH3;3?xhd<80;6<uG2828yke3:3:1=vF=919~jf24290:wE<60:mg12=83;pD?7?;|l`00<728qC>4>4}oa72?6=9rB95=5rnb64>5<6sA82<6sac5:94?7|@;3;7p`l4883>4}O:0:0qcm;a;295~N5191vbn:m:182M4>82weo9m50;3xL7?73tdh8i4?:0yK6<6<ugi?i7>51zJ1=5=zfj>m6=4>{I0:4>{ik<:1<7?tH3;3?xhd=80;6<uG2828yke2:3:1=vF=919~jf34290:wE<60:mg02=83;pD?7?;|l`10<728qC>4>4}oa62?6=9rB95=5rnb74>5<6sA82<6sac4:94?7|@;3;7p`l5883>4}O:0:0qcm:a;295~N5191vbn;m:182M4>82weo8m50;3xL7?73tdh9i4?:0yK6<6<ugi>i7>51zJ1=5=zfj?m6=4>{I0:4>{ik?:1<7?tH3;3?xhd>80;6<uG2828yke1:3:1=vF=919~jf04290:wE<60:mg32=83;pD?7?;|l`20<728qC>4>4}oa52?6=9rB95=5rnb44>5<6sA82<6sac7:94?7|@;3;7p`l6883>4}O:0:0qcm9a;295~N5191vbn8m:182M4>82weo;m50;3xL7?73tdh:i4?:0yK6<6<ugi=i7>51zJ1=5=zfj<m6=4>{I0:4>{ik>:1<7?tH3;3?xhd?80;6<uG2828yke0:3:1=vF=919~jf14290:wE<60:m`=5=83;p(?68:`fb?M4>82weh5:50;3x 7>02hnj7E<60:m`=3=83;p(?68:`fb?M4>82weh5850;3x 7>02hnj7E<60:m`=1=83;p(?68:`fb?M4>82weh5650;3x 7>02hnj7E<60:m`=?=83;p(?68:`fb?M4>82weh5o50;3x 7>02hnj7E<60:m`=d=83;p(?68:`fb?M4>82weh5m50;3x 7>02hnj7E<60:m`=b=83;p(?68:`fb?M4>82weh5k50;3x 7>02hnj7E<60:m`=`=83;p(?68:`fb?M4>82weh4>50;3x 7>02hnj7E<60:m`<7=83;p(?68:`fb?M4>82weh4<50;3x 7>02hnj7E<60:m`<5=83;p(?68:`fb?M4>82wvqpNOCz3fg=<0>=o98l>r@A@x4xFGXrwKL \ No newline at end of file diff --git a/stitch_project/stitch/ipcore_dir/multiaddCos.sym b/stitch_project/stitch/ipcore_dir/multiaddCos.sym index cef8a8e1076db927a0253ac56a3c87743e45fda5..6e599a4937fc0ada157003cd5836a8db823071ae 100644 --- a/stitch_project/stitch/ipcore_dir/multiaddCos.sym +++ b/stitch_project/stitch/ipcore_dir/multiaddCos.sym @@ -1,13 +1,14 @@ <?xml version="1.0" encoding="UTF-8"?> <symbol version="7" name="multiaddCos"> <symboltype>BLOCK</symboltype> - <timestamp>2024-8-22T13:1:54</timestamp> + <timestamp>2024-8-29T8:48:0</timestamp> <pin polarity="Input" x="0" y="80" name="a[20:0]" /> <pin polarity="Input" x="0" y="112" name="b[20:0]" /> <pin polarity="Input" x="0" y="144" name="clk" /> <pin polarity="Input" x="0" y="208" name="ce" /> + <pin polarity="Input" x="0" y="240" name="bypass" /> <pin polarity="Input" x="0" y="272" name="sclr" /> - <pin polarity="Output" x="288" y="80" name="s[63:0]" /> + <pin polarity="Output" x="288" y="80" name="s[69:0]" /> <graph> <text style="fontsize:40;fontname:Arial" x="32" y="32">multiaddCos</text> <rect width="224" x="32" y="32" height="288" /> @@ -19,9 +20,11 @@ <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="36" y="144" type="pin clk" /> <line x2="32" y1="208" y2="208" x1="0" /> <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="36" y="208" type="pin ce" /> + <line x2="32" y1="240" y2="240" x1="0" /> + <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="36" y="240" type="pin bypass" /> <line x2="32" y1="272" y2="272" x1="0" /> <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="36" y="272" type="pin sclr" /> <line x2="256" y1="80" y2="80" style="linewidth:W" x1="288" /> - <attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="252" y="80" type="pin s[63:0]" /> + <attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="252" y="80" type="pin s[69:0]" /> </graph> </symbol> diff --git a/stitch_project/stitch/ipcore_dir/multiaddCos.vhd b/stitch_project/stitch/ipcore_dir/multiaddCos.vhd index 1a286d7a5ffe11f5b6c2bace04a83eab5e42d7a0..79f6c3020881fb1c6dc23073e5027029c993fe61 100644 --- a/stitch_project/stitch/ipcore_dir/multiaddCos.vhd +++ b/stitch_project/stitch/ipcore_dir/multiaddCos.vhd @@ -45,9 +45,10 @@ ENTITY multiaddCos IS clk : IN STD_LOGIC; ce : IN STD_LOGIC; sclr : IN STD_LOGIC; + bypass : IN STD_LOGIC; a : IN STD_LOGIC_VECTOR(20 DOWNTO 0); b : IN STD_LOGIC_VECTOR(20 DOWNTO 0); - s : OUT STD_LOGIC_VECTOR(63 DOWNTO 0) + s : OUT STD_LOGIC_VECTOR(69 DOWNTO 0) ); END multiaddCos; @@ -58,9 +59,10 @@ COMPONENT wrapped_multiaddCos clk : IN STD_LOGIC; ce : IN STD_LOGIC; sclr : IN STD_LOGIC; + bypass : IN STD_LOGIC; a : IN STD_LOGIC_VECTOR(20 DOWNTO 0); b : IN STD_LOGIC_VECTOR(20 DOWNTO 0); - s : OUT STD_LOGIC_VECTOR(63 DOWNTO 0) + s : OUT STD_LOGIC_VECTOR(69 DOWNTO 0) ); END COMPONENT; @@ -70,18 +72,18 @@ END COMPONENT; c_a_type => 0, c_a_width => 21, c_accum_mode => 0, - c_accum_width => 64, - c_b_type => 0, + c_accum_width => 70, + c_b_type => 1, c_b_width => 21, c_bypass_low => 0, c_ce_overrides_sclr => 0, - c_has_bypass => 0, - c_latency => 1, - c_out_width => 64, + c_has_bypass => 1, + c_latency => -1, + c_out_width => 70, c_round_type => 0, c_use_dsp48 => 1, c_verbosity => 0, - c_xdevicefamily => "spartan6l" + c_xdevicefamily => "spartan6" ); -- synthesis translate_on BEGIN @@ -91,6 +93,7 @@ U0 : wrapped_multiaddCos clk => clk, ce => ce, sclr => sclr, + bypass => bypass, a => a, b => b, s => s diff --git a/stitch_project/stitch/ipcore_dir/multiaddCos.vho b/stitch_project/stitch/ipcore_dir/multiaddCos.vho index b6f018199fd1e505a30e8d6fb35287ca41e72226..fa0239fd23eb665d6293321d5d4948d04876af4c 100644 --- a/stitch_project/stitch/ipcore_dir/multiaddCos.vho +++ b/stitch_project/stitch/ipcore_dir/multiaddCos.vho @@ -53,9 +53,10 @@ COMPONENT multiaddCos clk : IN STD_LOGIC; ce : IN STD_LOGIC; sclr : IN STD_LOGIC; + bypass : IN STD_LOGIC; a : IN STD_LOGIC_VECTOR(20 DOWNTO 0); b : IN STD_LOGIC_VECTOR(20 DOWNTO 0); - s : OUT STD_LOGIC_VECTOR(63 DOWNTO 0) + s : OUT STD_LOGIC_VECTOR(69 DOWNTO 0) ); END COMPONENT; -- COMP_TAG_END ------ End COMPONENT Declaration ------------ @@ -69,6 +70,7 @@ your_instance_name : multiaddCos clk => clk, ce => ce, sclr => sclr, + bypass => bypass, a => a, b => b, s => s diff --git a/stitch_project/stitch/ipcore_dir/multiaddCos.xco b/stitch_project/stitch/ipcore_dir/multiaddCos.xco index 164d07764f34cca1b76d5bcb5957d6ae2c58c65d..b3fb2608510b0af176576759b73aea70dd8890cf 100644 --- a/stitch_project/stitch/ipcore_dir/multiaddCos.xco +++ b/stitch_project/stitch/ipcore_dir/multiaddCos.xco @@ -1,7 +1,7 @@ ############################################################## # # Xilinx Core Generator version 14.7 -# Date: Fri Jun 28 10:40:30 2024 +# Date: Sat Jun 29 02:24:22 2024 # ############################################################## # @@ -22,16 +22,16 @@ SET asysymbol = true SET busformat = BusFormatAngleBracketNotRipped SET createndf = false SET designentry = VHDL -SET device = xc6slx4l -SET devicefamily = spartan6l +SET device = xc6slx45 +SET devicefamily = spartan6 SET flowvendor = Other SET formalverification = false SET foundationsym = false SET implementationfiletype = Ngc -SET package = tqg144 +SET package = csg324 SET removerpms = false SET simulationfiles = Behavioral -SET speedgrade = -1l +SET speedgrade = -2 SET verilogsim = false SET vhdlsim = true # END Project Options @@ -42,20 +42,20 @@ SELECT Multiply_Accumulator xilinx.com:ip:xbip_multaccum:2.0 CSET a_input_type=Signed CSET a_input_width=21 CSET accum_mode=Add -CSET accum_width=64 -CSET b_input_type=Signed +CSET accum_width=70 +CSET b_input_type=Unsigned CSET b_input_width=21 -CSET bypass=false +CSET bypass=true CSET bypass_sense=Active_High CSET component_name=multiaddCos CSET implementation=DSP48 -CSET latency=1 -CSET latency_configuration=Manual -CSET output_width=64 +CSET latency=2 +CSET latency_configuration=Automatic +CSET output_width=70 CSET sync_ce_priority=Sync_Overrides_CE # END Parameters # BEGIN Extra information MISC pkg_timestamp=2013-07-22T10:40:50Z # END Extra information GENERATE -# CRC: 44950d62 +# CRC: f238e4b7 diff --git a/stitch_project/stitch/ipcore_dir/multiaddCos.xise b/stitch_project/stitch/ipcore_dir/multiaddCos.xise index b8190172cff1065ce65f467fd61d7b86e207b644..796300cef25ad0cd4de23cc8ad941fee515fd189 100644 --- a/stitch_project/stitch/ipcore_dir/multiaddCos.xise +++ b/stitch_project/stitch/ipcore_dir/multiaddCos.xise @@ -17,11 +17,11 @@ <files> <file xil_pn:name="multiaddCos.ngc" xil_pn:type="FILE_NGC"> <association xil_pn:name="BehavioralSimulation" xil_pn:seqID="4"/> - <association xil_pn:name="Implementation" xil_pn:seqID="0"/> + <association xil_pn:name="Implementation" xil_pn:seqID="4"/> </file> <file xil_pn:name="multiaddCos.vhd" xil_pn:type="FILE_VHDL"> <association xil_pn:name="BehavioralSimulation" xil_pn:seqID="6"/> - <association xil_pn:name="Implementation" xil_pn:seqID="1"/> + <association xil_pn:name="Implementation" xil_pn:seqID="6"/> <association xil_pn:name="PostMapSimulation" xil_pn:seqID="6"/> <association xil_pn:name="PostRouteSimulation" xil_pn:seqID="6"/> <association xil_pn:name="PostTranslateSimulation" xil_pn:seqID="6"/> @@ -29,30 +29,359 @@ </files> <properties> + <property xil_pn:name="AES Initial Vector spartan6" xil_pn:value="" xil_pn:valueState="default"/> + <property xil_pn:name="AES Initial Vector virtex6" xil_pn:value="" xil_pn:valueState="default"/> + <property xil_pn:name="AES Key (Hex String) spartan6" xil_pn:value="" xil_pn:valueState="default"/> + <property xil_pn:name="AES Key (Hex String) virtex6" xil_pn:value="" xil_pn:valueState="default"/> + <property xil_pn:name="Add I/O Buffers" xil_pn:value="true" xil_pn:valueState="default"/> + <property xil_pn:name="Allow Logic Optimization Across Hierarchy" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Allow SelectMAP Pins to Persist" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Allow Unexpanded Blocks" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Allow Unmatched LOC Constraints" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Allow Unmatched Timing Group Constraints" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Analysis Effort Level" xil_pn:value="Standard" xil_pn:valueState="default"/> + <property xil_pn:name="Asynchronous To Synchronous" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Auto Implementation Compile Order" xil_pn:value="true" xil_pn:valueState="default"/> <property xil_pn:name="Auto Implementation Top" xil_pn:value="false" xil_pn:valueState="non-default"/> - <property xil_pn:name="Device" xil_pn:value="xc6slx4l" xil_pn:valueState="default"/> - <property xil_pn:name="Device Family" xil_pn:value="Spartan6 Lower Power" xil_pn:valueState="non-default"/> - <property xil_pn:name="Enable Internal Done Pipe" xil_pn:value="true" xil_pn:valueState="non-default"/> - <property xil_pn:name="Implementation Stop View" xil_pn:value="PreSynthesis" xil_pn:valueState="non-default"/> + <property xil_pn:name="Automatic BRAM Packing" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Automatically Insert glbl Module in the Netlist" xil_pn:value="true" xil_pn:valueState="default"/> + <property xil_pn:name="Automatically Run Generate Target PROM/ACE File" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="BPI Reads Per Page" xil_pn:value="1" xil_pn:valueState="default"/> + <property xil_pn:name="BPI Sync Mode" xil_pn:value="Disable" xil_pn:valueState="default"/> + <property xil_pn:name="BRAM Utilization Ratio" xil_pn:value="100" xil_pn:valueState="default"/> + <property xil_pn:name="Bring Out Global Set/Reset Net as a Port" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Bring Out Global Tristate Net as a Port" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Bus Delimiter" xil_pn:value="<>" xil_pn:valueState="default"/> + <property xil_pn:name="Case" xil_pn:value="Maintain" xil_pn:valueState="default"/> + <property xil_pn:name="Case Implementation Style" xil_pn:value="None" xil_pn:valueState="default"/> + <property xil_pn:name="Change Device Speed To" xil_pn:value="-2" xil_pn:valueState="default"/> + <property xil_pn:name="Change Device Speed To Post Trace" xil_pn:value="-2" xil_pn:valueState="default"/> + <property xil_pn:name="Combinatorial Logic Optimization" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Compile EDK Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/> + <property xil_pn:name="Compile SIMPRIM (Timing) Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/> + <property xil_pn:name="Compile UNISIM (Functional) Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/> + <property xil_pn:name="Compile XilinxCoreLib (CORE Generator) Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/> + <property xil_pn:name="Compile for HDL Debugging" xil_pn:value="true" xil_pn:valueState="default"/> + <property xil_pn:name="Configuration Clk (Configuration Pins)" xil_pn:value="Pull Up" xil_pn:valueState="default"/> + <property xil_pn:name="Configuration Pin Done" xil_pn:value="Pull Up" xil_pn:valueState="default"/> + <property xil_pn:name="Configuration Pin Init" xil_pn:value="Pull Up" xil_pn:valueState="default"/> + <property xil_pn:name="Configuration Pin M0" xil_pn:value="Pull Up" xil_pn:valueState="default"/> + <property xil_pn:name="Configuration Pin M1" xil_pn:value="Pull Up" xil_pn:valueState="default"/> + <property xil_pn:name="Configuration Pin M2" xil_pn:value="Pull Up" xil_pn:valueState="default"/> + <property xil_pn:name="Configuration Pin Program" xil_pn:value="Pull Up" xil_pn:valueState="default"/> + <property xil_pn:name="Configuration Rate spartan6" xil_pn:value="2" xil_pn:valueState="default"/> + <property xil_pn:name="Configuration Rate virtex5" xil_pn:value="3" xil_pn:valueState="default"/> + <property xil_pn:name="Correlate Output to Input Design" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Create ASCII Configuration File" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Create Binary Configuration File" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Create Bit File" xil_pn:value="true" xil_pn:valueState="default"/> + <property xil_pn:name="Create I/O Pads from Ports" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Create IEEE 1532 Configuration File" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Create IEEE 1532 Configuration File spartan6" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Create Logic Allocation File" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Create Mask File" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Create ReadBack Data Files" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Cross Clock Analysis" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Cycles for First BPI Page Read" xil_pn:value="1" xil_pn:valueState="default"/> + <property xil_pn:name="DCI Update Mode" xil_pn:value="As Required" xil_pn:valueState="default"/> + <property xil_pn:name="DSP Utilization Ratio" xil_pn:value="100" xil_pn:valueState="default"/> + <property xil_pn:name="Delay Values To Be Read from SDF" xil_pn:value="Setup Time" xil_pn:valueState="default"/> + <property xil_pn:name="Device" xil_pn:value="xc6slx45" xil_pn:valueState="non-default"/> + <property xil_pn:name="Device Family" xil_pn:value="Spartan6" xil_pn:valueState="non-default"/> + <property xil_pn:name="Device Speed Grade/Select ABS Minimum" xil_pn:value="-2" xil_pn:valueState="default"/> + <property xil_pn:name="Disable Detailed Package Model Insertion" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Disable JTAG Connection" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Do Not Escape Signal and Instance Names in Netlist" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Done (Output Events)" xil_pn:value="Default (4)" xil_pn:valueState="default"/> + <property xil_pn:name="Drive Awake Pin During Suspend/Wake Sequence spartan6" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Drive Done Pin High" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Enable BitStream Compression" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Enable Cyclic Redundancy Checking (CRC)" xil_pn:value="true" xil_pn:valueState="default"/> + <property xil_pn:name="Enable Cyclic Redundancy Checking (CRC) spartan6" xil_pn:value="true" xil_pn:valueState="default"/> + <property xil_pn:name="Enable Debugging of Serial Mode BitStream" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Enable External Master Clock" xil_pn:value="Disable" xil_pn:valueState="default"/> + <property xil_pn:name="Enable External Master Clock spartan6" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Enable Internal Done Pipe" xil_pn:value="true" xil_pn:valueState="default"/> + <property xil_pn:name="Enable Message Filtering" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Enable Multi-Pin Wake-Up Suspend Mode spartan6" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Enable Multi-Threading" xil_pn:value="Off" xil_pn:valueState="default"/> + <property xil_pn:name="Enable Multi-Threading par spartan6" xil_pn:value="Off" xil_pn:valueState="default"/> + <property xil_pn:name="Enable Multi-Threading par virtex5" xil_pn:value="Off" xil_pn:valueState="default"/> + <property xil_pn:name="Enable Outputs (Output Events)" xil_pn:value="Default (5)" xil_pn:valueState="default"/> + <property xil_pn:name="Enable Suspend/Wake Global Set/Reset spartan6" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Encrypt Bitstream spartan6" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Encrypt Bitstream virtex6" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Encrypt Key Select spartan6" xil_pn:value="BBRAM" xil_pn:valueState="default"/> + <property xil_pn:name="Encrypt Key Select virtex6" xil_pn:value="BBRAM" xil_pn:valueState="default"/> + <property xil_pn:name="Equivalent Register Removal Map" xil_pn:value="true" xil_pn:valueState="default"/> + <property xil_pn:name="Equivalent Register Removal XST" xil_pn:value="true" xil_pn:valueState="default"/> + <property xil_pn:name="Essential Bits" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Evaluation Development Board" xil_pn:value="None Specified" xil_pn:valueState="default"/> + <property xil_pn:name="Exclude Compilation of Deprecated EDK Cores" xil_pn:value="true" xil_pn:valueState="default"/> + <property xil_pn:name="Exclude Compilation of EDK Sub-Libraries" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Extra Cost Tables Map" xil_pn:value="0" xil_pn:valueState="default"/> + <property xil_pn:name="Extra Cost Tables Map virtex6" xil_pn:value="0" xil_pn:valueState="default"/> + <property xil_pn:name="Extra Effort (Highest PAR level only)" xil_pn:value="None" xil_pn:valueState="default"/> + <property xil_pn:name="FPGA Start-Up Clock" xil_pn:value="CCLK" xil_pn:valueState="default"/> + <property xil_pn:name="FSM Encoding Algorithm" xil_pn:value="Auto" xil_pn:valueState="default"/> + <property xil_pn:name="FSM Style" xil_pn:value="LUT" xil_pn:valueState="default"/> + <property xil_pn:name="Fallback Reconfiguration virtex7" xil_pn:value="Disable" xil_pn:valueState="default"/> + <property xil_pn:name="Filter Files From Compile Order" xil_pn:value="true" xil_pn:valueState="default"/> + <property xil_pn:name="Flatten Output Netlist" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Functional Model Target Language ArchWiz" xil_pn:value="Verilog" xil_pn:valueState="default"/> + <property xil_pn:name="Functional Model Target Language Coregen" xil_pn:value="Verilog" xil_pn:valueState="default"/> + <property xil_pn:name="Functional Model Target Language Schematic" xil_pn:value="Verilog" xil_pn:valueState="default"/> + <property xil_pn:name="GTS Cycle During Suspend/Wakeup Sequence spartan6" xil_pn:value="4" xil_pn:valueState="default"/> + <property xil_pn:name="GWE Cycle During Suspend/Wakeup Sequence spartan6" xil_pn:value="5" xil_pn:valueState="default"/> + <property xil_pn:name="Generate Architecture Only (No Entity Declaration)" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Generate Asynchronous Delay Report" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Generate Clock Region Report" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Generate Constraints Interaction Report" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Generate Constraints Interaction Report Post Trace" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Generate Datasheet Section" xil_pn:value="true" xil_pn:valueState="default"/> + <property xil_pn:name="Generate Datasheet Section Post Trace" xil_pn:value="true" xil_pn:valueState="default"/> + <property xil_pn:name="Generate Detailed MAP Report" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Generate Multiple Hierarchical Netlist Files" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Generate Post-Place & Route Power Report" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Generate Post-Place & Route Simulation Model" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Generate RTL Schematic" xil_pn:value="Yes" xil_pn:valueState="default"/> + <property xil_pn:name="Generate SAIF File for Power Optimization/Estimation Par" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Generate Testbench File" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Generate Timegroups Section" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Generate Timegroups Section Post Trace" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Generics, Parameters" xil_pn:value="" xil_pn:valueState="default"/> + <property xil_pn:name="Global Optimization Goal" xil_pn:value="AllClockNets" xil_pn:valueState="default"/> + <property xil_pn:name="Global Optimization map spartan6" xil_pn:value="Off" xil_pn:valueState="default"/> + <property xil_pn:name="Global Optimization map virtex5" xil_pn:value="Off" xil_pn:valueState="default"/> + <property xil_pn:name="Global Set/Reset Port Name" xil_pn:value="GSR_PORT" xil_pn:valueState="default"/> + <property xil_pn:name="Global Tristate Port Name" xil_pn:value="GTS_PORT" xil_pn:valueState="default"/> + <property xil_pn:name="HMAC Key (Hex String)" xil_pn:value="" xil_pn:valueState="default"/> + <property xil_pn:name="Hierarchy Separator" xil_pn:value="/" xil_pn:valueState="default"/> + <property xil_pn:name="ICAP Select" xil_pn:value="Auto" xil_pn:valueState="default"/> + <property xil_pn:name="ISim UUT Instance Name" xil_pn:value="UUT" xil_pn:valueState="default"/> + <property xil_pn:name="Ignore User Timing Constraints Map" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Ignore User Timing Constraints Par" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Implementation Stop View" xil_pn:value="Structural" xil_pn:valueState="non-default"/> <property xil_pn:name="Implementation Top" xil_pn:value="Architecture|multiaddCos|multiaddCos_a" xil_pn:valueState="non-default"/> <property xil_pn:name="Implementation Top File" xil_pn:value="multiaddCos.vhd" xil_pn:valueState="non-default"/> <property xil_pn:name="Implementation Top Instance Path" xil_pn:value="/multiaddCos" xil_pn:valueState="non-default"/> - <property xil_pn:name="Package" xil_pn:value="tqg144" xil_pn:valueState="default"/> + <property xil_pn:name="Include 'uselib Directive in Verilog File" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Include SIMPRIM Models in Verilog File" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Include UNISIM Models in Verilog File" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Include sdf_annotate task in Verilog File" xil_pn:value="true" xil_pn:valueState="default"/> + <property xil_pn:name="Incremental Compilation" xil_pn:value="true" xil_pn:valueState="default"/> + <property xil_pn:name="Insert Buffers to Prevent Pulse Swallowing" xil_pn:value="true" xil_pn:valueState="default"/> + <property xil_pn:name="Instantiation Template Target Language Xps" xil_pn:value="Verilog" xil_pn:valueState="default"/> + <property xil_pn:name="JTAG Pin TCK" xil_pn:value="Pull Up" xil_pn:valueState="default"/> + <property xil_pn:name="JTAG Pin TDI" xil_pn:value="Pull Up" xil_pn:valueState="default"/> + <property xil_pn:name="JTAG Pin TDO" xil_pn:value="Pull Up" xil_pn:valueState="default"/> + <property xil_pn:name="JTAG Pin TMS" xil_pn:value="Pull Up" xil_pn:valueState="default"/> + <property xil_pn:name="JTAG to XADC Connection" xil_pn:value="Enable" xil_pn:valueState="default"/> + <property xil_pn:name="Keep Hierarchy" xil_pn:value="No" xil_pn:valueState="default"/> + <property xil_pn:name="LUT Combining Map" xil_pn:value="Off" xil_pn:valueState="default"/> + <property xil_pn:name="LUT Combining Xst" xil_pn:value="Auto" xil_pn:valueState="default"/> + <property xil_pn:name="Language" xil_pn:value="VHDL" xil_pn:valueState="default"/> + <property xil_pn:name="Launch SDK after Export" xil_pn:value="true" xil_pn:valueState="default"/> + <property xil_pn:name="Library for Verilog Sources" xil_pn:value="" xil_pn:valueState="default"/> + <property xil_pn:name="Load glbl" xil_pn:value="true" xil_pn:valueState="default"/> + <property xil_pn:name="Manual Implementation Compile Order" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Map Slice Logic into Unused Block RAMs" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Mask Pins for Multi-Pin Wake-Up Suspend Mode spartan6" xil_pn:value="0x00" xil_pn:valueState="default"/> + <property xil_pn:name="Max Fanout" xil_pn:value="100000" xil_pn:valueState="default"/> + <property xil_pn:name="Maximum Compression" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Maximum Number of Lines in Report" xil_pn:value="1000" xil_pn:valueState="default"/> + <property xil_pn:name="Maximum Signal Name Length" xil_pn:value="20" xil_pn:valueState="default"/> + <property xil_pn:name="Move First Flip-Flop Stage" xil_pn:value="true" xil_pn:valueState="default"/> + <property xil_pn:name="Move Last Flip-Flop Stage" xil_pn:value="true" xil_pn:valueState="default"/> + <property xil_pn:name="MultiBoot: Insert IPROG CMD in the Bitfile spartan6" xil_pn:value="Enable" xil_pn:valueState="default"/> + <property xil_pn:name="MultiBoot: Insert IPROG CMD in the Bitfile virtex7" xil_pn:value="Enable" xil_pn:valueState="default"/> + <property xil_pn:name="MultiBoot: Next Configuration Mode spartan6" xil_pn:value="001" xil_pn:valueState="default"/> + <property xil_pn:name="MultiBoot: Starting Address for Golden Configuration spartan6" xil_pn:value="0x00000000" xil_pn:valueState="default"/> + <property xil_pn:name="MultiBoot: Starting Address for Next Configuration spartan6" xil_pn:value="0x00000000" xil_pn:valueState="default"/> + <property xil_pn:name="MultiBoot: Use New Mode for Next Configuration spartan6" xil_pn:value="true" xil_pn:valueState="default"/> + <property xil_pn:name="MultiBoot: User-Defined Register for Failsafe Scheme spartan6" xil_pn:value="0x0000" xil_pn:valueState="default"/> + <property xil_pn:name="Netlist Hierarchy" xil_pn:value="As Optimized" xil_pn:valueState="default"/> + <property xil_pn:name="Netlist Translation Type" xil_pn:value="Timestamp" xil_pn:valueState="default"/> + <property xil_pn:name="Number of Clock Buffers" xil_pn:value="16" xil_pn:valueState="default"/> + <property xil_pn:name="Number of Paths in Error/Verbose Report" xil_pn:value="3" xil_pn:valueState="default"/> + <property xil_pn:name="Number of Paths in Error/Verbose Report Post Trace" xil_pn:value="3" xil_pn:valueState="default"/> + <property xil_pn:name="Optimization Effort spartan6" xil_pn:value="Normal" xil_pn:valueState="default"/> + <property xil_pn:name="Optimization Effort virtex6" xil_pn:value="Normal" xil_pn:valueState="default"/> + <property xil_pn:name="Optimization Goal" xil_pn:value="Speed" xil_pn:valueState="default"/> + <property xil_pn:name="Optimize Instantiated Primitives" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Other Bitgen Command Line Options" xil_pn:value="" xil_pn:valueState="default"/> + <property xil_pn:name="Other Bitgen Command Line Options spartan6" xil_pn:value="" xil_pn:valueState="default"/> + <property xil_pn:name="Other Compiler Options" xil_pn:value="" xil_pn:valueState="default"/> + <property xil_pn:name="Other Compiler Options Map" xil_pn:value="" xil_pn:valueState="default"/> + <property xil_pn:name="Other Compiler Options Par" xil_pn:value="" xil_pn:valueState="default"/> + <property xil_pn:name="Other Compiler Options Translate" xil_pn:value="" xil_pn:valueState="default"/> + <property xil_pn:name="Other Compxlib Command Line Options" xil_pn:value="" xil_pn:valueState="default"/> + <property xil_pn:name="Other Map Command Line Options" xil_pn:value="" xil_pn:valueState="default"/> + <property xil_pn:name="Other NETGEN Command Line Options" xil_pn:value="" xil_pn:valueState="default"/> + <property xil_pn:name="Other Ngdbuild Command Line Options" xil_pn:value="" xil_pn:valueState="default"/> + <property xil_pn:name="Other Place & Route Command Line Options" xil_pn:value="" xil_pn:valueState="default"/> + <property xil_pn:name="Other Simulator Commands Behavioral" xil_pn:value="" xil_pn:valueState="default"/> + <property xil_pn:name="Other Simulator Commands Post-Map" xil_pn:value="" xil_pn:valueState="default"/> + <property xil_pn:name="Other Simulator Commands Post-Route" xil_pn:value="" xil_pn:valueState="default"/> + <property xil_pn:name="Other Simulator Commands Post-Translate" xil_pn:value="" xil_pn:valueState="default"/> + <property xil_pn:name="Other XPWR Command Line Options" xil_pn:value="" xil_pn:valueState="default"/> + <property xil_pn:name="Other XST Command Line Options" xil_pn:value="" xil_pn:valueState="default"/> + <property xil_pn:name="Output Extended Identifiers" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Output File Name" xil_pn:value="multiaddCos" xil_pn:valueState="default"/> + <property xil_pn:name="Overwrite Compiled Libraries" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Pack I/O Registers into IOBs" xil_pn:value="Auto" xil_pn:valueState="default"/> + <property xil_pn:name="Pack I/O Registers/Latches into IOBs" xil_pn:value="Off" xil_pn:valueState="default"/> + <property xil_pn:name="Package" xil_pn:value="csg324" xil_pn:valueState="non-default"/> + <property xil_pn:name="Perform Advanced Analysis" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Perform Advanced Analysis Post Trace" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Place & Route Effort Level (Overall)" xil_pn:value="High" xil_pn:valueState="default"/> + <property xil_pn:name="Place And Route Mode" xil_pn:value="Normal Place and Route" xil_pn:valueState="default"/> + <property xil_pn:name="Place MultiBoot Settings into Bitstream spartan6" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Place MultiBoot Settings into Bitstream virtex7" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Placer Effort Level Map" xil_pn:value="High" xil_pn:valueState="default"/> + <property xil_pn:name="Placer Extra Effort Map" xil_pn:value="None" xil_pn:valueState="default"/> + <property xil_pn:name="Port to be used" xil_pn:value="Auto - default" xil_pn:valueState="default"/> + <property xil_pn:name="Post Map Simulation Model Name" xil_pn:value="multiaddCos_map.v" xil_pn:valueState="default"/> + <property xil_pn:name="Post Place & Route Simulation Model Name" xil_pn:value="multiaddCos_timesim.v" xil_pn:valueState="default"/> + <property xil_pn:name="Post Synthesis Simulation Model Name" xil_pn:value="multiaddCos_synthesis.v" xil_pn:valueState="default"/> + <property xil_pn:name="Post Translate Simulation Model Name" xil_pn:value="multiaddCos_translate.v" xil_pn:valueState="default"/> + <property xil_pn:name="Power Down Device if Over Safe Temperature" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Power Reduction Map spartan6" xil_pn:value="Off" xil_pn:valueState="default"/> + <property xil_pn:name="Power Reduction Map virtex6" xil_pn:value="Off" xil_pn:valueState="default"/> + <property xil_pn:name="Power Reduction Par" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Power Reduction Xst" xil_pn:value="false" xil_pn:valueState="default"/> <property xil_pn:name="Preferred Language" xil_pn:value="Verilog" xil_pn:valueState="default"/> + <property xil_pn:name="Produce Verbose Report" xil_pn:value="false" xil_pn:valueState="default"/> <property xil_pn:name="Project Generator" xil_pn:value="CoreGen" xil_pn:valueState="non-default"/> <property xil_pn:name="Property Specification in Project File" xil_pn:value="Store all values" xil_pn:valueState="default"/> + <property xil_pn:name="RAM Extraction" xil_pn:value="true" xil_pn:valueState="default"/> + <property xil_pn:name="RAM Style" xil_pn:value="Auto" xil_pn:valueState="default"/> + <property xil_pn:name="ROM Extraction" xil_pn:value="true" xil_pn:valueState="default"/> + <property xil_pn:name="ROM Style" xil_pn:value="Auto" xil_pn:valueState="default"/> + <property xil_pn:name="Read Cores" xil_pn:value="true" xil_pn:valueState="default"/> + <property xil_pn:name="Reduce Control Sets" xil_pn:value="Auto" xil_pn:valueState="default"/> + <property xil_pn:name="Regenerate Core" xil_pn:value="Under Current Project Setting" xil_pn:valueState="default"/> + <property xil_pn:name="Register Balancing" xil_pn:value="No" xil_pn:valueState="default"/> + <property xil_pn:name="Register Duplication Map" xil_pn:value="Off" xil_pn:valueState="default"/> + <property xil_pn:name="Register Duplication Xst" xil_pn:value="true" xil_pn:valueState="default"/> + <property xil_pn:name="Register Ordering spartan6" xil_pn:value="4" xil_pn:valueState="default"/> + <property xil_pn:name="Register Ordering virtex6" xil_pn:value="4" xil_pn:valueState="default"/> + <property xil_pn:name="Release Write Enable (Output Events)" xil_pn:value="Default (6)" xil_pn:valueState="default"/> + <property xil_pn:name="Rename Design Instance in Testbench File to" xil_pn:value="UUT" xil_pn:valueState="default"/> + <property xil_pn:name="Rename Top Level Architecture To" xil_pn:value="Structure" xil_pn:valueState="default"/> + <property xil_pn:name="Rename Top Level Entity to" xil_pn:value="" xil_pn:valueState="default"/> + <property xil_pn:name="Rename Top Level Module To" xil_pn:value="" xil_pn:valueState="default"/> + <property xil_pn:name="Report Fastest Path(s) in Each Constraint" xil_pn:value="true" xil_pn:valueState="default"/> + <property xil_pn:name="Report Fastest Path(s) in Each Constraint Post Trace" xil_pn:value="true" xil_pn:valueState="default"/> + <property xil_pn:name="Report Paths by Endpoint" xil_pn:value="3" xil_pn:valueState="default"/> + <property xil_pn:name="Report Paths by Endpoint Post Trace" xil_pn:value="3" xil_pn:valueState="default"/> + <property xil_pn:name="Report Type" xil_pn:value="Verbose Report" xil_pn:valueState="default"/> + <property xil_pn:name="Report Type Post Trace" xil_pn:value="Verbose Report" xil_pn:valueState="default"/> + <property xil_pn:name="Report Unconstrained Paths" xil_pn:value="" xil_pn:valueState="default"/> + <property xil_pn:name="Report Unconstrained Paths Post Trace" xil_pn:value="" xil_pn:valueState="default"/> + <property xil_pn:name="Reset On Configuration Pulse Width" xil_pn:value="100" xil_pn:valueState="default"/> + <property xil_pn:name="Resource Sharing" xil_pn:value="true" xil_pn:valueState="default"/> + <property xil_pn:name="Retain Hierarchy" xil_pn:value="true" xil_pn:valueState="default"/> + <property xil_pn:name="Retry Configuration if CRC Error Occurs spartan6" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Revision Select" xil_pn:value="00" xil_pn:valueState="default"/> + <property xil_pn:name="Revision Select Tristate" xil_pn:value="Disable" xil_pn:valueState="default"/> + <property xil_pn:name="Run Design Rules Checker (DRC)" xil_pn:value="true" xil_pn:valueState="default"/> + <property xil_pn:name="Run for Specified Time" xil_pn:value="true" xil_pn:valueState="default"/> + <property xil_pn:name="Run for Specified Time Map" xil_pn:value="true" xil_pn:valueState="default"/> + <property xil_pn:name="Run for Specified Time Par" xil_pn:value="true" xil_pn:valueState="default"/> + <property xil_pn:name="Run for Specified Time Translate" xil_pn:value="true" xil_pn:valueState="default"/> + <property xil_pn:name="SPI 32-bit Addressing" xil_pn:value="No" xil_pn:valueState="default"/> + <property xil_pn:name="Safe Implementation" xil_pn:value="No" xil_pn:valueState="default"/> + <property xil_pn:name="Security" xil_pn:value="Enable Readback and Reconfiguration" xil_pn:valueState="default"/> + <property xil_pn:name="Selected Simulation Root Source Node Behavioral" xil_pn:value="" xil_pn:valueState="default"/> + <property xil_pn:name="Selected Simulation Root Source Node Post-Map" xil_pn:value="" xil_pn:valueState="default"/> + <property xil_pn:name="Selected Simulation Root Source Node Post-Route" xil_pn:value="" xil_pn:valueState="default"/> + <property xil_pn:name="Selected Simulation Root Source Node Post-Translate" xil_pn:value="" xil_pn:valueState="default"/> + <property xil_pn:name="Selected Simulation Source Node" xil_pn:value="UUT" xil_pn:valueState="default"/> + <property xil_pn:name="Set SPI Configuration Bus Width" xil_pn:value="1" xil_pn:valueState="default"/> + <property xil_pn:name="Set SPI Configuration Bus Width spartan6" xil_pn:value="1" xil_pn:valueState="default"/> + <property xil_pn:name="Setup External Master Clock Division spartan6" xil_pn:value="1" xil_pn:valueState="default"/> + <property xil_pn:name="Shift Register Extraction" xil_pn:value="true" xil_pn:valueState="default"/> + <property xil_pn:name="Shift Register Minimum Size spartan6" xil_pn:value="2" xil_pn:valueState="default"/> + <property xil_pn:name="Shift Register Minimum Size virtex6" xil_pn:value="2" xil_pn:valueState="default"/> + <property xil_pn:name="Show All Models" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Simulation Model Target" xil_pn:value="Verilog" xil_pn:valueState="default"/> + <property xil_pn:name="Simulation Run Time ISim" xil_pn:value="1000 ns" xil_pn:valueState="default"/> + <property xil_pn:name="Simulation Run Time Map" xil_pn:value="1000 ns" xil_pn:valueState="default"/> + <property xil_pn:name="Simulation Run Time Par" xil_pn:value="1000 ns" xil_pn:valueState="default"/> + <property xil_pn:name="Simulation Run Time Translate" xil_pn:value="1000 ns" xil_pn:valueState="default"/> <property xil_pn:name="Simulator" xil_pn:value="ISim (VHDL/Verilog)" xil_pn:valueState="default"/> - <property xil_pn:name="Speed Grade" xil_pn:value="-1L" xil_pn:valueState="default"/> + <property xil_pn:name="Slice Utilization Ratio" xil_pn:value="100" xil_pn:valueState="default"/> + <property xil_pn:name="Specify 'define Macro Name and Value" xil_pn:value="" xil_pn:valueState="default"/> + <property xil_pn:name="Specify Top Level Instance Names Behavioral" xil_pn:value="Default" xil_pn:valueState="default"/> + <property xil_pn:name="Specify Top Level Instance Names Post-Map" xil_pn:value="Default" xil_pn:valueState="default"/> + <property xil_pn:name="Specify Top Level Instance Names Post-Route" xil_pn:value="Default" xil_pn:valueState="default"/> + <property xil_pn:name="Specify Top Level Instance Names Post-Translate" xil_pn:value="Default" xil_pn:valueState="default"/> + <property xil_pn:name="Speed Grade" xil_pn:value="-2" xil_pn:valueState="non-default"/> + <property xil_pn:name="Starting Address for Fallback Configuration virtex7" xil_pn:value="None" xil_pn:valueState="default"/> + <property xil_pn:name="Starting Placer Cost Table (1-100)" xil_pn:value="1" xil_pn:valueState="default"/> + <property xil_pn:name="Starting Placer Cost Table (1-100) Map spartan6" xil_pn:value="1" xil_pn:valueState="default"/> <property xil_pn:name="Synthesis Tool" xil_pn:value="XST (VHDL/Verilog)" xil_pn:valueState="default"/> + <property xil_pn:name="Target Simulator" xil_pn:value="Please Specify" xil_pn:valueState="default"/> + <property xil_pn:name="Timing Mode Map" xil_pn:value="Performance Evaluation" xil_pn:valueState="default"/> + <property xil_pn:name="Timing Mode Par" xil_pn:value="Performance Evaluation" xil_pn:valueState="default"/> + <property xil_pn:name="Top-Level Module Name in Output Netlist" xil_pn:value="" xil_pn:valueState="default"/> <property xil_pn:name="Top-Level Source Type" xil_pn:value="HDL" xil_pn:valueState="default"/> - <property xil_pn:name="Working Directory" xil_pn:value="." xil_pn:valueState="non-default"/> + <property xil_pn:name="Trim Unconnected Signals" xil_pn:value="true" xil_pn:valueState="default"/> + <property xil_pn:name="Tristate On Configuration Pulse Width" xil_pn:value="0" xil_pn:valueState="default"/> + <property xil_pn:name="Unused IOB Pins" xil_pn:value="Pull Down" xil_pn:valueState="default"/> + <property xil_pn:name="Use 64-bit PlanAhead on 64-bit Systems" xil_pn:value="true" xil_pn:valueState="default"/> + <property xil_pn:name="Use Clock Enable" xil_pn:value="Auto" xil_pn:valueState="default"/> + <property xil_pn:name="Use Custom Project File Behavioral" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Use Custom Project File Post-Map" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Use Custom Project File Post-Route" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Use Custom Project File Post-Translate" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Use Custom Simulation Command File Behavioral" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Use Custom Simulation Command File Map" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Use Custom Simulation Command File Par" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Use Custom Simulation Command File Translate" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Use Custom Waveform Configuration File Behav" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Use Custom Waveform Configuration File Map" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Use Custom Waveform Configuration File Par" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Use Custom Waveform Configuration File Translate" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Use DSP Block" xil_pn:value="Auto" xil_pn:valueState="default"/> + <property xil_pn:name="Use DSP Block spartan6" xil_pn:value="Auto" xil_pn:valueState="default"/> + <property xil_pn:name="Use LOC Constraints" xil_pn:value="true" xil_pn:valueState="default"/> + <property xil_pn:name="Use RLOC Constraints" xil_pn:value="Yes" xil_pn:valueState="default"/> + <property xil_pn:name="Use SPI Falling Edge" xil_pn:value="No" xil_pn:valueState="default"/> + <property xil_pn:name="Use Smart Guide" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Use Synchronous Reset" xil_pn:value="Auto" xil_pn:valueState="default"/> + <property xil_pn:name="Use Synchronous Set" xil_pn:value="Auto" xil_pn:valueState="default"/> + <property xil_pn:name="Use Synthesis Constraints File" xil_pn:value="true" xil_pn:valueState="default"/> + <property xil_pn:name="User Access Register Value" xil_pn:value="None" xil_pn:valueState="default"/> + <property xil_pn:name="UserID Code (8 Digit Hexadecimal)" xil_pn:value="0xFFFFFFFF" xil_pn:valueState="default"/> + <property xil_pn:name="VCCAUX Voltage Level spartan6" xil_pn:value="2.5V" xil_pn:valueState="default"/> + <property xil_pn:name="VHDL Source Analysis Standard" xil_pn:value="VHDL-93" xil_pn:valueState="default"/> + <property xil_pn:name="Value Range Check" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Verilog Macros" xil_pn:value="" xil_pn:valueState="default"/> + <property xil_pn:name="Wait for DCI Match (Output Events) virtex5" xil_pn:value="Auto" xil_pn:valueState="default"/> + <property xil_pn:name="Wait for DCM and PLL Lock (Output Events) spartan6" xil_pn:value="Default (NoWait)" xil_pn:valueState="default"/> + <property xil_pn:name="Wait for PLL Lock (Output Events) virtex6" xil_pn:value="No Wait" xil_pn:valueState="default"/> + <property xil_pn:name="Wakeup Clock spartan6" xil_pn:value="Startup Clock" xil_pn:valueState="default"/> + <property xil_pn:name="Watchdog Timer Mode 7-series" xil_pn:value="Off" xil_pn:valueState="default"/> + <property xil_pn:name="Watchdog Timer Value 7-series" xil_pn:value="0x00000000" xil_pn:valueState="default"/> + <property xil_pn:name="Watchdog Timer Value spartan6" xil_pn:value="0xFFFF" xil_pn:valueState="default"/> + <property xil_pn:name="Working Directory" xil_pn:value="." xil_pn:valueState="default"/> + <property xil_pn:name="Write Timing Constraints" xil_pn:value="false" xil_pn:valueState="default"/> <!-- --> <!-- The following properties are for internal use only. These should not be modified.--> <!-- --> + <property xil_pn:name="PROP_BehavioralSimTop" xil_pn:value="" xil_pn:valueState="default"/> <property xil_pn:name="PROP_DesignName" xil_pn:value="multiaddCos" xil_pn:valueState="non-default"/> - <property xil_pn:name="PROP_DevFamilyPMName" xil_pn:value="spartan6l" xil_pn:valueState="default"/> - <property xil_pn:name="PROP_intProjectCreationTimestamp" xil_pn:value="2024-07-05T00:08:27" xil_pn:valueState="non-default"/> - <property xil_pn:name="PROP_intWbtProjectID" xil_pn:value="B94A2A7D9ECE6C7B1BBF71CB8AAE4D94" xil_pn:valueState="non-default"/> + <property xil_pn:name="PROP_DevFamilyPMName" xil_pn:value="spartan6" xil_pn:valueState="default"/> + <property xil_pn:name="PROP_FPGAConfiguration" xil_pn:value="FPGAConfiguration" xil_pn:valueState="default"/> + <property xil_pn:name="PROP_PostMapSimTop" xil_pn:value="" xil_pn:valueState="default"/> + <property xil_pn:name="PROP_PostParSimTop" xil_pn:value="" xil_pn:valueState="default"/> + <property xil_pn:name="PROP_PostSynthSimTop" xil_pn:value="" xil_pn:valueState="default"/> + <property xil_pn:name="PROP_PostXlateSimTop" xil_pn:value="" xil_pn:valueState="default"/> + <property xil_pn:name="PROP_PreSynthesis" xil_pn:value="PreSynthesis" xil_pn:valueState="default"/> + <property xil_pn:name="PROP_intProjectCreationTimestamp" xil_pn:value="2024-06-29T02:24:42" xil_pn:valueState="non-default"/> + <property xil_pn:name="PROP_intWbtProjectID" xil_pn:value="E84F04CE90CDF57F8F2EBF92C43212BC" xil_pn:valueState="non-default"/> <property xil_pn:name="PROP_intWorkingDirLocWRTProjDir" xil_pn:value="Same" xil_pn:valueState="non-default"/> <property xil_pn:name="PROP_intWorkingDirUsed" xil_pn:value="No" xil_pn:valueState="non-default"/> </properties> diff --git a/stitch_project/stitch/ipcore_dir/multiaddSin.asy b/stitch_project/stitch/ipcore_dir/multiaddSin.asy index f5017d6fcccab2fa15fd545e6a7ecdb00db9c082..59bea4507be037b95e411cc7e498ba799e72ccb7 100644 --- a/stitch_project/stitch/ipcore_dir/multiaddSin.asy +++ b/stitch_project/stitch/ipcore_dir/multiaddSin.asy @@ -18,12 +18,16 @@ LINE Normal 0 208 32 208 PIN 0 208 LEFT 36 PINATTR PinName ce PINATTR Polarity IN +LINE Normal 0 240 32 240 +PIN 0 240 LEFT 36 +PINATTR PinName bypass +PINATTR Polarity IN LINE Normal 0 272 32 272 PIN 0 272 LEFT 36 PINATTR PinName sclr PINATTR Polarity IN LINE Wide 288 80 256 80 PIN 288 80 RIGHT 36 -PINATTR PinName s[63:0] +PINATTR PinName s[69:0] PINATTR Polarity OUT diff --git a/stitch_project/stitch/ipcore_dir/multiaddSin.gise b/stitch_project/stitch/ipcore_dir/multiaddSin.gise index 096ed554531c603143fd5603aad21c95a82fa0b5..8a14d92054825042aa3ca6702d9801d97d99775a 100644 --- a/stitch_project/stitch/ipcore_dir/multiaddSin.gise +++ b/stitch_project/stitch/ipcore_dir/multiaddSin.gise @@ -29,6 +29,27 @@ <file xil_pn:fileType="FILE_VEO" xil_pn:name="multiaddSin.veo" xil_pn:origination="imported"/> </files> - <transforms xmlns="http://www.xilinx.com/XMLSchema"/> + <transforms xmlns="http://www.xilinx.com/XMLSchema"> + <transform xil_pn:end_ts="1719622862" xil_pn:name="TRAN_copyInitialToXSTAbstractSynthesis" xil_pn:start_ts="1719622862"> + <status xil_pn:value="SuccessfullyRun"/> + <status xil_pn:value="ReadyToRun"/> + </transform> + <transform xil_pn:end_ts="1724680524" xil_pn:name="TRAN_schematicsToHdl" xil_pn:prop_ck="-4086567091452493108" xil_pn:start_ts="1724680524"> + <status xil_pn:value="SuccessfullyRun"/> + <status xil_pn:value="ReadyToRun"/> + </transform> + <transform xil_pn:end_ts="1724680524" xil_pn:name="TRAN_regenerateCores" xil_pn:prop_ck="-442521152817317545" xil_pn:start_ts="1724680524"> + <status xil_pn:value="SuccessfullyRun"/> + <status xil_pn:value="ReadyToRun"/> + </transform> + <transform xil_pn:end_ts="1724680524" xil_pn:name="TRAN_SubProjectAbstractToPreProxy" xil_pn:start_ts="1724680524"> + <status xil_pn:value="SuccessfullyRun"/> + <status xil_pn:value="ReadyToRun"/> + </transform> + <transform xil_pn:end_ts="1724680524" xil_pn:name="TRAN_xawsTohdl" xil_pn:prop_ck="-5480484674542449268" xil_pn:start_ts="1724680524"> + <status xil_pn:value="SuccessfullyRun"/> + <status xil_pn:value="ReadyToRun"/> + </transform> + </transforms> </generated_project> diff --git a/stitch_project/stitch/ipcore_dir/multiaddSin.ngc b/stitch_project/stitch/ipcore_dir/multiaddSin.ngc index 17e1fe4c6b72628858f5f71fc53e8d82cec0c607..8f8020db22ca9c2ba77006c1b4aa8523768e3181 100644 --- a/stitch_project/stitch/ipcore_dir/multiaddSin.ngc +++ b/stitch_project/stitch/ipcore_dir/multiaddSin.ngc @@ -1,3 +1,3 @@ XILINX-XDB 0.1 STUB 0.1 ASCII XILINX-XDM V1.6e -$6;`44<,[o}e~g`n;"2*73>(-80!<?4000852<NFY__6IGA<0494;7338=1CXZ_UU8GKPR;9?0;2<>4198JJUSS2H6:;7>11192<?OIX\^1N1?8:1<27>7?2@D[YY4MCHL?52<768:0=54FNQWW>A:6?3:5=?5>8;KMTPR=L@D7=:4?>0785=<H]]Z^X7LLOTV?52<768>0=54@UURVP?BH]]6:;7>11192>LHW]]0OEC2?:1<26>7=G\^[YY4KOTV?4?699919=7GAPTV9E947294:<6<>:HLSQQ<E4;:1<3??;339MKVR\3k7>=4?>02864<NFY__6o2=0;2=57=4>3CE\XZ5DHL?70<768>0?;4@UURVP?BH]]6897>110902?IR\Y__6@2<5;2=55=303CE\XZ5C=64>586;2>36D@_UU8SGLH;<>0;2<?4498LQQVR\3Z78:4?>0780=<H]]Z^X7^LOTV?02<768:087GAPTV9@LH;;3:5=?5;:NWWTPR=LF__0>4?>03821<H]]Z^X7]36283:47<>=0DYY^ZT;q?26<76o1=av;lusd512*9e;8754FNQWW>JVJGMO7;7>12:;51>G7ZLM>7L?]EF68E969=2K7==0:;@>25;3<I5;9285N<01=1>G;9=4>7L2>5?78E9716>1J0<950?78E9706<1J0<615:C?5<833H6:2:5N<3294;3<I58;295N<3<7?D:46=1J090;;@>6:1=F4?4?7L28>59B8=833H62285M0SGD1>D6ZLM?7O2?>49A8469=2H7=<0:;C>26;3<J5;8285M<06=1>D;9<4>7O2>6?58F970294>7O2>7?78F97?6<1I0<714:@?5;1<J58;6=0:;C>14;2<J58586L33?68F929<2H793:4B=4=0>D;?7>0N1614:@?=;1<JJCE0=07;CAJJ977611IOD@310<;?GENF5;9255MCHL?568?3KIBB1?;>99AGLH;9<437OMFN=35:d=EK@D7=:4?>99AGLH;9>4<7OMFN=3=3>DDAG692:5MCHL?7;1<JJCE0908;CAJJ939?2HHEC29>69AGLH;?7=0NNGA<9<4?GENF53546LLOTV?4;?<JJE^X1??>89AGJSS48;556LLOTV?578>3KIDYY2>3?;8FFIR\5;?245MCNWW843912HHCXZ317<a?GEH]]6:;7>19:@@KPR;9>437OM@UU>2:==EKF__0?07;CALQQ:4611IOB[[<5<;?GEH]]6>255MCNWW838?3KIDYY28>99AGJSS41437OM@UU>::==E]ZUBBKA9;C[SEVU63J>0O1>15:A?55823J6:=3;4C=31:0=D489596M315<6?F:6=7?0O1?9>49@8419=2I7=50:;B>2=;2<K5;596M321<6?F:597?0O1<=>49@8759=2I7>90:;B>11;3<K58=285L<35=1>E;:14>7N2=9?68G949=2I7?=0:;B>05;3<K599285L<21=1>E;;=4>7N2<5?78G9516<1H0>915:A?7=823J6853:4C=1=1>E;<94>7N2;1?78G9256<1H09=15:A?01823J6?93;4C=65:2=D4==1<3;4C=64:1=D4=4?7N2:>59@83833J6<295L<9<7?F:>6>1HM_\VIOc8GDTUQ@DYIJo4C@PQ]LHTME20OL\]YNWW=>EFZ[SDYYHm;BCQV\IR\[OL>6MJ3:AFE6=DMK90OHM6;BG@EWT^AG90OHJ<;BGN<>EBGYGDHH=4CDR26>EOMJAT@DMJNRG\P\VB:2IB?6MCK59@HN613JF@=5>9;BNH62623JF@>U64CMI1\4>7=2IGG4>:;BNHE41<KEAJ=I<8;BNHE4B3?2IGGL?K849@HND6=2IGGN?:;BNH@43<KEAOZn5LLJFU[AOQAMO>7NBDFY:8GIMAP82;56M@MLKWP@B6<2ID^HQHEOGQEQOHFVCEJB94CSGBP@B33JXNK<5K4:F?4;3<L5;;285K<03=1>B;9;4>7I2>3?78@9736<1O0<;15:F?53803M6:;7>15:F?52833M6:295K<3<7?A:46=1O090;;E>6:1=C4?4?7I28>59G8=833M622?5KI99GMK:7294=7IGA<1<4?AOI48:5;6JFN=32:2=CAG6:>394DHL?56803MCE0<:17:FJJ972601OEC2>6;2=3>BNF5;=245KIO>23?69?2NBB1?8>69GMK:607=0HD@318<5?AOI484<7IGA<32=3>BNF58:2:5KIO>16;1<L@D7>>08;EKM8729?2NBB1<:>69GMK:5>7=0HD@326<4?AOI4;25;6JFN=0::3=CAG692:5KIO>04;1<L@D7?<08;EKM8649?2NBB1=<>69GMK:4<730HD@33483:2=CAG689364DHL?7?69>2NBB1=16:FJJ929>2NBB1;16:FJJ909>2NBB1916:FJJ9>9>2NBB1719:FLQQ:7294<7IAZT=2=<>BH]]6:<364DNWW847902NDYY2>2?:8@JSS489546J@UU>20;><LF__0<;1a:FLQQ:6>3:546J@UU>22;g<LF__0<950?:8@JSS48=546J@UU>2<;><LF__0<717:FLQQ:6611OCXZ321<;?AIR\58:255KOTV?678?3ME^X1<<>99GKPR;:=437IAZT=06:==CG\^7>;07;EMVP940611OCXZ329<;?AIR\5822:5KOTV?6;><LF__0>>18:FLQQ:49720HB[[<20=<>BH]]68?364DNWW8629i2NDYY2<5;2=<>BH]]689374DNWW86<76>1OCXZ33?58@JSS4=4<7IAZT=7=3>BH]]6=2:5KOTV?3;1<LF__0508;EMVP9?9<2NYIJ94DRR7<D733ONYI<5H3:EM@7=N8;1B=?5F289JJLRT\H^N>6BF6:NLEACC>2FDOFKK7:NLCLEFD=1GYY=;;L>3:0=J48:596C310<6?H:6:7?0A1?<>49N8429=2G7=80:;L>22;3<E5;<285B<0:=1>K;904?7@2>>49N8769=2G7><0:;L>16;3<E588285B<36=1>K;:<4>7@2=6?78I9406<1F0?615:O?6<833D69285B<22=1>K;;84>7@2<2?78I9546<1F0>:17:O?70<76<1F0>;14:O?7;2<E5>586C35?68I909<2G7;3:4M=:=0>K;17>0A_KH5:OV\F_d3DkacXjrrkljf=JageyZh||inl4?KCS_FX@;6@@MDPDA4=H?2E[ABJJ589LTHICM5:556A_MNFF848>3FZFCIK32?;8KUKHLL68245@PLMGA92912E[ABJJ<4<:?JVJGMO7:3l4OQOL@@:029427B^BOEG?3;?<GYGDHH\JG058KURNE@PNS]\FMHVJR@UXFNIj7Bliurabpjt63Yi0\#<?123356YK<2Z7<3;4P=33:0=W48;596^313<6?U:6;7?0\1?;>49S8439=2Z7=;0:;Q>23;3<X5;3285_<0;=0>V;97?0\1<?>49S8779=2Z7>?0:;Q>17;3<X58?285_<37=1>V;:?4>7]2=7?78T94?6<1[0?714:R?6;3<X59;285_<23=1>V;;;4>7]2<3?78T9536<1[0>;15:R?73823Y68;3;4P=1;:0=W4:3586^33?78T9276<1[09?15:R?07823Y6??3;4P=67:0=W4=?596^347<4?U:3?3:596^346<7?U:36=1[080;;Q>5:1=W4>4?7]27>59S8<833YKYX:5_CHL?4;><XJCE0<>18:R@MK:69720\NGA<00=<>VDAG6:?364PBKM842902ZHEC2>5?:8TFOI48<546^LIO>23;><XJCE0<618:R@MK:617=0\NGA<0<;?UENF58;255_CHL?648?3YIBB1<=>99SGLH;::437]MFN=07:==WK@D7>807;QAJJ941611[OD@326<;?UENF583255_CHL?6<803YIBB1<18:R@MK:48720\NGA<23=<>VDAG68>364PBKM865902ZHEC2<4?:8TFOI4:?546^LIO>02;><XJCE0>918:R@MK:40720\NGA<2;=3>VDAG68255_CHL?058?3YIBB1:>>99SGLH;<;437]MFN=60:==WK@D78907;QAJJ922611[OD@347<b?UENF5><6=07;QAJJ9206>1[OD@34?58TFOI4<4<7]MFN=4=3>VDAG6<2:5_CHL?<;1<XJCE0407;QALQQ:7601[OB[[<02==>VDG\^7=<06;QALQQ:6:730\NAZT=30:<=WKF__0<:19:R@KPR;9<427]M@UU>22;?<XJE^X1?8>89SGJSS482556^LOTV?5<8?3YIDYY2>>89SGJSS4;:556^LOTV?648>3YIDYY2=2?;8TFIR\588245_CNWW872912ZHCXZ324<:?UEH]]69:374PBMVP940601[OB[[<3:==>VDG\^7>407;QALQQ:5601[OB[[<22==>VDG\^7?<06;QALQQ:4:730\NAZT=10:<=WKF__0>:19:R@KPR;;<427]M@UU>02;?<XJE^X1=8>89SGJSS4:2556^LOTV?7<8?3YIDYY2<>89SGJSS4=:556^LOTV?048>3YIDYY2;2?;8TFIR\5>8245_CNWW812912ZHCXZ344<:?UEH]]6?:3l4PBMVP92029427]M@UU>73;><XJE^X1:18:R@KPR;=720\NAZT=4=<>VDG\^7;364PBMVP9>902ZHCXZ39?c8TLHXJ\YBHUl4PHL\FPUIIDO?7]\JG79SWAIIM81Z=6\;;SQWE1=U[]H?7_][C`9QWQEFZ[SBB95]SUF7?WUSE01Y_YA_MNFF0>TT\Y=0^^Z[YQG2?V2<[5:596]311<6?V:697?0_1?=>49P8459=2Y7=90:;R>21;3<[5;=285\<05=1>U;914>7^2>9?68W979=2Y7>=0:;R>15;3<[589285\<31=1>U;:=4>7^2=5?78W9416<1X0?915:Q?6=823Z6953:4S=0=1>U;;94>7^2<1?78W9556<1X0>=15:Q?71823Z6893;4S=15:0=T4:=596]339<6?V:417>0_1=15:Q?05823Z6?=3;4S=61:0=T4=9596]345<6?V:3=7?0_1:9>49P8119=2Y7850:;R>7=;2<[5>596]351<6?V:297?0_1;=>49P8059=2Y7990:;R>61;3<[5?=285\<45=1>U;=14>7^2:9?68W939=2Y7:=0:;R>55;3<[5<92:5\<7194;3<[5<8295\<7<7?V:06=1X050;;R>::1=TKEX:=6]GRDE\A]RUIJ^TBJMj;RJQABYJAGUXEWK7;RIJTZVNF?1XCX\LE79PPDRR[11XYOZ]ABV7?V_IK=1_U]Kl;TQFVZPN[@HGI>5YCB;8RLCPW]S[I<j4XHNJJ]+_LK*;"<.\TT@#4+7'IZIBE85WOSAZ2>^T\ECIn6VPCNPF[LHAG?1ShoQFdg9[`mYWz`g]i}foo33?]bjWDkacXjrrklj46<PmgTAd``rWgqwlii;2Rxx>5Ver68e969=2k7==0:;`>25;3<i5;9285n<01=1>g;9=4>7l2>5?78e9716<1j0<915:c?5=823h6:53:4a=3=3>g;:90;285n<32=0>g;:7>0m1=14:c?0;2<i5?586o36?68e919<2k743:4a=;=0>d;87?0n1??>49a8479=2h7=?0:;c>27;3<j5;?285m<07=1>d;9?4>7o2>7?78f97?6<1i0<714:`?5;1<j58;6=0:;c>14;2<j58586l33?68f929<2h793:4b=4=0>d;?7>0n1614:`?=;d<jea;<=>?013a?gjl89:;<=>=b:`oo56789:;?o5mlj2345678=h0nae?0123453e3kf`<=>?0125f>dkc9:;<=>?7c9ahn6789:;<5l4bmi34567893i7obd0123456fj2hgg=>?0123fg=edb:;<=>?0b`8fim789:;<=jm;cnh456789:nn6lck1234567nk1i`f>?012355d<jea;<=>?003a?gjl89:;<=?=b:`oo56789::?o5mlj2345679=h0nae?0123443e3kf`<=>?0135f>dkc9:;<=>>7c9ahn6789:;=5l4bmi34567883i7obd0123457fj2hgg=>?0122fg=edb:;<=>?1b`8fim789:;<<jm;cnh456789;nn6lck1234566nk1i`f>?012365d<jea;<=>?033a?gjl89:;<=<=b:`oo56789:9?o5mlj234567:=h0nae?0123473e3kf`<=>?0105f>dkc9:;<=>=7c9ahn6789:;>5l4bmi345678;3i7obd0123454fj2hgg=>?0121fg=edb:;<=>?2b`8fim789:;<?jm;cnh4567898nn6lck1234565nk1i`f>?012375d<jea;<=>?023a?gjl89:;<===b:`oo56789:8?o5mlj234567;=h0nae?0123463e3kf`<=>?0115f>dkc9:;<=><7c9ahn6789:;?5l4bmi345678:3i7obd0123455fj2hgg=>?0120fg=edb:;<=>?3b`8fim789:;<>jm;cnh4567899nn6lck1234564nk1i`f>?012305d<jea;<=>?053a?gjl89:;<=:=b:`oo56789:??o5mlj234567<=h0nae?0123413e3kf`<=>?0165f>dkc9:;<=>;7c9ahn6789:;85l4bmi345678=3i7obd0123452fj2hgg=>?0127fg=edb:;<=>?4b`8fim789:;<9jm;cnh456789>nn6lck1234563nk1i`f>?012315d<jea;<=>?043a?gjl89:;<=;=b:`oo56789:>?o5mlj234567==h0nae?0123403e3kf`<=>?0175f>dkc9:;<=>:7c9ahn6789:;95l4bmi345678<3i7obd0123453fj2hgg=>?0126fg=edb:;<=>?5b`8fim789:;<8jm;cnh456789?nn6lck1234562nk1i`f>?012325d<jea;<=>?073a?gjl89:;<=8=b:`oo56789:=?o5mlj234567>=h0nae?0123433e3kf`<=>?0145f>dkc9:;<=>97c9ahn6789:;:5l4bmi345678?3i7obd0123450fj2hgg=>?0125fg=edb:;<=>?6b`8fim789:;<;jm;cnh456789<nn6lck1234561nk1i`f>?012335d<jea;<=>?063a?gjl89:;<=9=b:`oo56789:<?o5mlj234567?=h0nae?0123423e3kf`<=>?0155f>dkc9:;<=>87c9ahn6789:;;5l4bmi345678>3i7obd0123451fj2hgg=>?0124fg=edb:;<=>?7b`8fim789:;<:jm;cnh456789=nn6lck1234560nk1i`f>?0123<5d<jea;<=>?093a?gjl89:;<=6=b:`oo56789:3?o5mlj2345670=h0nae?01234=3e3kf`<=>?01:5f>dkc9:;<=>77c9ahn6789:;45l4bmi34567813i7obd012345>fj2hgg=>?012;fg=edb:;<=>?8b`8fim789:;<5jm;cnh4567892nn6lck123456?nk1i`f>?0123=5d<jea;<=>?083a?gjl89:;<=7=b:`oo56789:2?o5mlj2345671=h0nae?01234<3e3kf`<=>?01;5f>dkc9:;<=>67c9ahn6789:;55l4bmi34567803i7obd012345?fj2hgg=>?012:fg=edb:;<=>?9b`8fim789:;<4jm;cnh4567893nn6lck123456>nk1i`f>?0123e5d<jea;<=>?0`3a?gjl89:;<=o=b:`oo56789:j?o5mlj234567i=h0nae?01234d3e3kf`<=>?01c5f>dkc9:;<=>n7c9ahn6789:;m5l4bmi345678h3i7obd012345gfj2hgg=>?012bfg=edb:;<=>?ab`8fim789:;<ljm;cnh456789knn6lck123456fnk1i`f>?0123f5d<jea;<=>?0c3a?gjl89:;<=l=b:`oo56789:i?o5mlj234567j=h0nae?01234g3e3kf`<=>?01`5f>dkc9:;<=>m7c9ahn6789:;n5l4bmi345678k3i7obd012345dfj2hgg=>?012afg=edb:;<=>?bb`8fim789:;<ojm;cnh456789hnn6lck123456enk1i`f>?0123g5d<jea;<=>?0b3a?gjl89:;<=m=b:`oo56789:h?o5mlj234567k=h0nae?01234f3e3kf`<=>?01a5f>dkc9:;<=>l7c9ahn6789:;o5l4bmi345678j3i7obd012345efj2hgg=>?012`fg=edb:;<=>?cb`8fim789:;<njm;cnh456789inn6lck123456dnk1i`f>?0123`5d<jea;<=>?0e3a?gjl89:;<=j=b:`oo56789:o?o5mlj234567l=h0nae?01234a3e3kf`<=>?01f5f>dkc9:;<=>k7c9ahn6789:;h5l4bmi345678m3i7obd012345bfj2hgg=>?012gfg=edb:;<=>?db`8fim789:;<ijm;cnh456789nnn6lck123456cnk1i`f>?0123a5d<jea;<=>?0d3a?gjl89:;<=k=b:`oo56789:n?o5mlj234567m=h0nae?01234`3e3kf`<=>?01g5f>dkc9:;<=>j7c9ahn6789:;i5l4bmi345678l3i7obd012345cfj2hgg=>?012ffg=edb:;<=>?eb`8fim789:;<hjm;cnh456789onn6lck123456bnk1i`f>?0123b5d<jea;<=>?0g3a?gjl89:;<=h=b:`oo56789:m?o5mlj234567n=h0nae?01234c3e3kf`<=>?01d5f>dkc9:;<=>i7c9ahn6789:;j5l4bmi345678o3i7obd012345`fj2hgg=>?012efg=edb:;<=>?fb`8fim789:;<kjm;cnh456789lnn6lck123456ank1i`f>?012245d<jea;<=>?113a?gjl89:;<<>=b:`oo56789;;?o5mlj2345668=h0nae?0123553e3kf`<=>?0025f>dkc9:;<=??7c9ahn6789::<5l4bmi34567993i7obd0123446fj2hgg=>?0133fg=edb:;<=>>0b`8fim789:;==jm;cnh456788:nn6lck1234577nk1i`f>?012255d<jea;<=>?103a?gjl89:;<<?=b:`oo56789;:?o5mlj2345669=h0nae?012354353jo87nbdc:ffhdXd`dnS<m4ddnb}ZjnflU9o6jjl`{\hlhbW:i0hhbny^njj`Y3k2nn`lwPlhlf[05<lzz:>6hnbsk`[uowmmUjon{b139nqirXoldTz<?P0^zppa=j}e~jon{b_eqsf>krd}cjhi]fn026?hsk|`koh^ga,y`jtZkrd}khoxcPv3]3(~eXiV~r|h3?,b]b[roc|a79=!mPabaviZkhll7; nQncbwn[roc|a7=8!mPb^vzt`;7$jUiSzgkti?15)eXjpzj~Qcov?3(fYdmVe}i|fddq\wfju59&hSeo|_c{sevu:8%iT`lzjnb{>5)eXg|~T{dj{h<47(fYug|doSywe<2/gZstmVnx|9621-a\r`tegzcu0>#c^zgasodmokfeaw2sqcqpdh1dt897`{cthcg`Voi$qhb|Rczluc`gpkX~;U; vs7;oe`fpokl;1ec45`p^pfwl|b<2y7<3;4s=33:0=t48;596}313<6?v:6;7?01?;>49p8439=2y7=;0:;r>23;3<{5;3285|<0;=0>u;97?01<?>49p8779=2y7>?0:;r>17;3<{58?285|<37=1>u;:?4>7~2=7?78w94?6<1x0?714:q?6;3<{59;285|<23=1>u;;;4>7~2<3?78w9536<1x0>;15:q?73823z68;3;4s=1;:0=t4:3586}33?78w9276<1x09?15:q?07823z6??3;4s=67:0=t4=?596}347<6?v:3?7?01:7>49p81?9<2y783;4s=73:0=t4<;596}353<6?v:2;7?01;;>49p8039=2y79;0:;r>63;3<{5?3285|<4;=0>u;=7?018?>49p8379=2y7:?08;r>57?69=2y7:>0;;r>5:1=t4>4?7~27>59p8<833zig~o5|if23456788h0di?0123454e3zcl<=>?0120f>uno9:;<=>?4c9pmb6789:;<8l4she3456789<i7~gh01234560j2ybk=>?0123<g=tan:;<=>?08`8wla789:;<=om;rkd456789:in6}fg1234567kk1xej>?01234ad<{`m;<=>?01ga?vo`89:;<=>ib:qjc56789::<o5|if23456798h0di?0123444e3zcl<=>?0130f>uno9:;<=>>4c9pmb6789:;=8l4she3456788<i7~gh01234570j2ybk=>?0122<g=tan:;<=>?18`8wla789:;<<om;rkd456789;in6}fg1234566kk1xej>?01235ad<{`m;<=>?00ga?vo`89:;<=?ib:qjc56789:9<o5|if234567:8h0di?0123474e3zcl<=>?0100f>uno9:;<=>=4c9pmb6789:;>8l4she345678;<i7~gh01234540j2ybk=>?0121<g=tan:;<=>?28`8wla789:;<?om;rkd4567898in6}fg1234565kk1xej>?01236ad<{`m;<=>?03ga?vo`89:;<=<ib:qjc56789:8<o5|if234567;8h0di?0123464e3zcl<=>?0110f>uno9:;<=><4c9pmb6789:;?8l4she345678:<i7~gh01234550j2ybk=>?0120<g=tan:;<=>?38`8wla789:;<>om;rkd4567899in6}fg1234564kk1xej>?01237ad<{`m;<=>?02ga?vo`89:;<==ib:qjc56789:?<o5|if234567<8h0di?0123414e3zcl<=>?0160f>uno9:;<=>;4c9pmb6789:;88l4she345678=<i7~gh01234520j2ybk=>?0127<g=tan:;<=>?48`8wla789:;<9om;rkd456789>in6}fg1234563kk1xej>?01230ad<{`m;<=>?05ga?vo`89:;<=:ib:qjc56789:><o5|if234567=8h0di?0123404e3zcl<=>?0170f>uno9:;<=>:4c9pmb6789:;98l4she345678<<i7~gh01234530j2ybk=>?0126<g=tan:;<=>?58`8wla789:;<8om;rkd456789?in6}fg1234562kk1xej>?01231ad<{`m;<=>?04ga?vo`89:;<=;ib:qjc56789:=<o5|if234567>8h0di?0123434e3zcl<=>?0140f>uno9:;<=>94c9pmb6789:;:8l4she345678?<i7~gh01234500j2ybk=>?0125<g=tan:;<=>?68`8wla789:;<;om;rkd456789<in6}fg1234561kk1xej>?01232ad<{`m;<=>?07ga?vo`89:;<=8ib:qjc56789:<<o5|if234567?8h0di?0123424e3zcl<=>?0150f>uno9:;<=>84c9pmb6789:;;8l4she345678><i7~gh01234510j2ybk=>?0124<g=tan:;<=>?78`8wla789:;<:om;rkd456789=in6}fg1234560kk1xej>?01233ad<{`m;<=>?06ga?vo`89:;<=9ib:qjc56789:3<o5|if23456708h0di?01234=4e3zcl<=>?01:0f>uno9:;<=>74c9pmb6789:;48l4she3456781<i7~gh012345>0j2ybk=>?012;<g=tan:;<=>?88`8wla789:;<5om;rkd4567892in6}fg123456?kk1xej>?0123<ad<{`m;<=>?09ga?vo`89:;<=6ib:qjc56789:2<o5|if23456718h0di?01234<4e3zcl<=>?01;0f>uno9:;<=>64c9pmb6789:;58l4she3456780<i7~gh012345?0j2ybk=>?012:<g=tan:;<=>?98`8wla789:;<4om;rkd4567893in6}fg123456>kk1xej>?0123=ad<{`m;<=>?08ga?vo`89:;<=7ib:qjc56789:j<o5|if234567i8h0di?01234d4e3zcl<=>?01c0f>uno9:;<=>n4c9pmb6789:;m8l4she345678h<i7~gh012345g0j2ybk=>?012b<g=tan:;<=>?a8`8wla789:;<lom;rkd456789kin6}fg123456fkk1xej>?0123ead<{`m;<=>?0`ga?vo`89:;<=oib:qjc56789:i<o5|if234567j8h0di?01234g4e3zcl<=>?01`0f>uno9:;<=>m4c9pmb6789:;n8l4she345678k<i7~gh012345d0j2ybk=>?012a<g=tan:;<=>?b8`8wla789:;<oom;rkd456789hin6}fg123456ekk1xej>?0123fad<{`m;<=>?0cga?vo`89:;<=lib:qjc56789:h<o5|if234567k8h0di?01234f4e3zcl<=>?01a0f>uno9:;<=>l4c9pmb6789:;o8l4she345678j<i7~gh012345e0j2ybk=>?012`<g=tan:;<=>?c8`8wla789:;<nom;rkd456789iin6}fg123456dkk1xej>?0123gad<{`m;<=>?0bga?vo`89:;<=mib:qjc56789:o<o5|if234567l8h0di?01234a4e3zcl<=>?01f0f>uno9:;<=>k4c9pmb6789:;h8l4she345678m<i7~gh012345b0j2ybk=>?012g<g=tan:;<=>?d8`8wla789:;<iom;rkd456789nin6}fg123456ckk1xej>?0123`ad<{`m;<=>?0ega?vo`89:;<=jib:qjc56789:n<o5|if234567m8h0di?01234`4e3zcl<=>?01g0f>uno9:;<=>j4c9pmb6789:;i8l4she345678l<i7~gh012345c0j2ybk=>?012f<g=tan:;<=>?e8`8wla789:;<hom;rkd456789oin6}fg123456bkk1xej>?0123aad<{`m;<=>?0dga?vo`89:;<=kib:qjc56789:m<o5|if234567n8h0di?01234c4e3zcl<=>?01d0f>uno9:;<=>i4c9pmb6789:;j8l4she345678o<i7~gh012345`0j2ybk=>?012e<g=tan:;<=>?f8`8wla789:;<kom;rkd456789lin6}fg123456akk1xej>?0123bad<{`m;<=>?0gga?vo`89:;<=hib:qjc56789;;<o5|if23456688h0di?0123554e3zcl<=>?0020f>uno9:;<=??4c9pmb6789::<8l4she3456799<i7~gh01234460j2ybk=>?0133<g=tan:;<=>>08`8wla789:;==om;rkd456788:in6}fg1234577kk1xej>?01224ad<{`m;<=>?11ga?vo`89:;<<>ib:qjc56789;:<o5|if23456698h0di?0123544e3zcl<=>?0030f>uno9:;<=?>4c9pmb6789::=8l4she3456798<i7~gh01234470j2ybk=>?0132<g=tan:;<=>>18`8wla789:;=<om;rkd456788;in6}fg1234576kk1xej>?01225ad<{`m;<=>?10ga?vo`89:;<<?ib:qjc56789;9<o5|if234566:8h0di?0123574e3zcl<=>?0000f>uno9:;<=?=4c9pmb6789::>8l4she345679;<i7~gh01234440j2ybk=>?0131<g=tan:;<=>>28`8wla789:;=?om;rkd4567888in6}fg1234575kk1xej>?01226ad<{`m;<=>?13ga?vo`89:;<<<ib:qjc56789;8<o5|if234566;8h0di?0123564e3zcl<=>?0010f>uno9:;<=?<4c9pmb6789::?8l4she345679:<i7~gh01234450j2ybk=>?0130<g=tan:;<=>>38`8wla789:;=>om;rkd4567889in6}fg1234574kk1xej>?01227ad<{`m;<=>?12ga?vo`89:;<<=ib:qjc56789;?<o5|if234566<8h0di?0123514e3zcl<=>?0060f>uno9:;<=?;4c9pmb6789::88l4she345679=<i7~gh01234420j2ybk=>?0137<g=tan:;<=>>48`8wla789:;=9om;rkd456788>in6}fg1234573kk1xej>?01220ad<{`m;<=>?15ga?vo`89:;<<:ib:qjc56789;><o5|if234566=8h0di?0123504e3zcl<=>?0070f>uno9:;<=?:4c9pmb6789::98l4she345679<<i7~gh01234430j2ybk=>?0136<g=tan:;<=>>58`8wla789:;=8om;rkd456788?in6}fg1234572kk1xej>?01221ad<{`m;<=>?14ga?vo`89:;<<;ib:qjc56789;=<o5|if234566>8h0di?0123534e3zcl<=>?0040f>uno9:;<=?94c9pmb6789:::8l4she345679?<i7~gh01234400j2ybk=>?0135<g=tan:;<=>>68`8wla789:;=;om;rkd456788<in6}fg1234571kk1xej>?01222ad<{`m;<=>?17ga?vo`89:;<<8ib:qjc56789;<<o5|if234566?8h0di?0123524e3zcl<=>?0050f>uno9:;<=?84c9pmb6789::;8l4she345679><i7~gh01234410j2ybk=>?0134<g=tan:;<=>>78`8wla789:;=:om;rkd456788=in6}fg1234570kk1xej>?01223ad<{`m;<=>?16ga?vo`89:;<<9ib:qjc56789;3<o5|if23456608h0di?01235=4e3zcl<=>?00:0f>uno9:;<=?74c9pmb6789::48l4she3456791<i7~gh012344>0j2ybk=>?013;<g=tan:;<=>>88`8wla789:;=5om;rkd4567882in6}fg123457?kk1xej>?0122<ad<{`m;<=>?19ga?vo`89:;<<6ib:qjc56789;2<o5|if23456618h0di?01235<4e3zcl<=>?00;0f>uno9:;<=?64c9pmb6789::58l4she3456790<i7~gh012344?0j2ybk=>?013:<g=tan:;<=>>98`8wla789:;=4om;rkd4567883in6}fg123457>kk1xej>?0122=ad<{`m;<=>?18ga?vo`89:;<<7ib:qjc56789;j<o5|if234566i8h0di?01235d4e3zcl<=>?00c0f>uno9:;<=?n4c9pmb6789::m8l4she345679h<i7~gh012344g0j2ybk=>?013b<g=tan:;<=>>a8`8wla789:;=lom;rkd456788kin6}fg123457fkk1xej>?0122ead<{`m;<=>?1`ga?vo`89:;<<oib:qjc56789;i<o5|if234566j8h0di?01235g4e3zcl<=>?00`0f>uno9:;<=?m4c9pmb6789::n8l4she345679k<i7~gh012344d0j2ybk=>?013a<g=tan:;<=>>b8`8wla789:;=oom;rkd456788hin6}fg123457ekk1xej>?0122fad<{`m;<=>?1cga?vo`89:;<<lib:qjc56789;h<o5|if234566k8h0di?01235f4e3zcl<=>?00a0f>uno9:;<=?l4c9pmb6789::o8l4she345679j<i7~gh012344e0j2ybk=>?013`<g=tan:;<=>>c8`8wla789:;=nom;rkd456788iin6}fg123457dkk1xej>?0122gad<{`m;<=>?1bga?vo`89:;<<mib:qjc56789;o<o5|if234566l8h0di?01235a4e3zcl<=>?00f0f>uno9:;<=?k4c9pmb6789::h8l4she345679m<i7~gh012344b0j2ybk=>?013g<g=tan:;<=>>d8`8wla789:;=iom;rkd456788nin6}fg123457ckk1xej>?0122`ad<{`m;<=>?1ega?vo`89:;<<jib:qjc56789;n<o5|if234566m8h0di?01235`4e3zcl<=>?00g0f>uno9:;<=?j4c9pmb6789::i8l4she345679l<i7~gh012344c0j2ybk=>?013f<g=tan:;<=>>e8`8wla789:;=hom;rkd456788oin6}fg123457bkk1xej>?0122aad<{`m;<=>?1dga?vo`89:;<<kib:qjc56789;m<o5|if234566n8h0di?01235c4e3zcl<=>?00d0f>uno9:;<=?i4c9pmb6789::j8l4she345679o<i7~gh012344`0j2ybk=>?013e<g=tan:;<=>>f8`8wla789:;=kom;rkd456788lin6}fg123457akk1xej>?0122bad<{`m;<=>?1gga?vo`89:;<<hib:qjc567898;<o5|if23456588h0di?0123654e3zcl<=>?0320f>uno9:;<=<?4c9pmb6789:9<8l4she34567:9<i7~gh01234760j2ybk=>?0103<g=tan:;<=>=08`8wla789:;>=om;rkd45678;:in6}fg1234547kk1xej>?01214ad<{`m;<=>?21ga?vo`89:;<?>ib:qjc567898:<o5|if23456598h0di?0123644e3zcl<=>?0330f>uno9:;<=<>4c9pmb6789:9=8l4she34567:8<i7~gh01234770j2ybk=>?0102<g=tan:;<=>=18`8wla789:;><om;rkd45678;;in6}fg1234546kk1xej>?01215ad<{`m;<=>?20ga?vo`89:;<??ib:qjc5678989<o5|if234565:8h0di?0123674e3zcl<=>?0300f>uno9:;<=<=4c9pmb6789:9>8l4she34567:;<i7~gh01234740j2ybk=>?0101<g=tan:;<=>=28`8wla789:;>?om;rkd45678;8in6}fg1234545kk1xej>?01216ad<{`m;<=>?23ga?vo`89:;<?<ib:qjc5678988<o5|if234565;8h0di?0123664e3zcl<=>?0310f>uno9:;<=<<4c9pmb6789:9?8l4she34567::<i7~gh01234750j2ybk=>?0100<g=tan:;<=>=38`8wla789:;>>om;rkd45678;9in6}fg1234544kk1xej>?01217ad<{`m;<=>?22ga?vo`89:;<?=ib:qjc567898?<o5|if234565<8h0di?0123614e3zcl<=>?0360f>uno9:;<=<;4c9pmb6789:988l4she34567:=<i7~gh01234720j2ybk=>?0107<g=tan:;<=>=48`8wla789:;>9om;rkd45678;>in6}fg1234543kk1xej>?01210ad<{`m;<=>?25ga?vo`89:;<?:ib:qjc567898><o5|if234565=8h0di?0123604e3zcl<=>?0370f>uno9:;<=<:4c9pmb6789:998l4she34567:<<i7~gh01234730j2ybk=>?0106<g=tan:;<=>=58`8wla789:;>8om;rkd45678;?in6}fg1234542kk1xej>?01211ad<{`m;<=>?24ga?vo`89:;<?;ib:qjc567898=<o5|if234565>8h0di?0123634e3zcl<=>?0340f>uno9:;<=<94c9pmb6789:9:8l4she34567:?<i7~gh01234700j2ybk=>?0105<g=tan:;<=>=68`8wla789:;>;om;rkd45678;<in6}fg1234541kk1xej>?01212ad<{`m;<=>?27ga?vo`89:;<?8ib:qjc567898<<o5|if234565?8h0di?0123624e3zcl<=>?0350f>uno9:;<=<84c9pmb6789:9;8l4she34567:><i7~gh01234710j2ybk=>?0104<g=tan:;<=>=78`8wla789:;>:om;rkd45678;=in6}fg1234540kk1xej>?01213ad<{`m;<=>?26ga?vo`89:;<?9ib:qjc5678983<o5|if23456508h0di?01236=4e3zcl<=>?03:0f>uno9:;<=<74c9pmb6789:948l4she34567:1<i7~gh012347>0j2ybk=>?010;<g=tan:;<=>=88`8wla789:;>5om;rkd45678;2in6}fg123454?kk1xej>?0121<ad<{`m;<=>?29ga?vo`89:;<?6ib:qjc5678982<o5|if23456518h0di?01236<4e3zcl<=>?03;0f>uno9:;<=<64c9pmb6789:958l4she34567:0<i7~gh012347?0j2ybk=>?010:<g=tan:;<=>=98`8wla789:;>4om;rkd45678;3in6}fg123454>kk1xej>?0121=ad<{`m;<=>?28ga?vo`89:;<?7ib:qjc567898j<o5|if234565i8h0di?01236d4e3zcl<=>?03c0f>uno9:;<=<n4c9pmb6789:9m8l4she34567:h<i7~gh012347g0j2ybk=>?010b<g=tan:;<=>=a8`8wla789:;>lom;rkd45678;kin6}fg123454fkk1xej>?0121ead<{`m;<=>?2`ga?vo`89:;<?oib:qjc567898i<o5|if234565j8h0di?01236g4e3zcl<=>?03`0f>uno9:;<=<m4c9pmb6789:9n8l4she34567:k<i7~gh012347d0j2ybk=>?010a<g=tan:;<=>=b8`8wla789:;>oom;rkd45678;hin6}fg123454ekk1xej>?0121fad<{`m;<=>?2cga?vo`89:;<?lib:qjc567898h<o5|if234565k8h0di?01236f4e3zcl<=>?03a0f>uno9:;<=<l4c9pmb6789:9o8l4she34567:j<i7~gh012347e0j2ybk=>?010`<g=tan:;<=>=c8`8wla789:;>nom;rkd45678;iin6}fg123454dkk1xej>?0121gad<{`m;<=>?2bga?vo`89:;<?mib:qjc567898o<o5|if234565l8h0di?01236a4e3zcl<=>?03f0f>uno9:;<=<k4c9pmb6789:9h8l4she34567:m<i7~gh012347b0j2ybk=>?010g<g=tan:;<=>=d8`8wla789:;>iom;rkd45678;nin6}fg123454ckk1xej>?0121`ad<{`m;<=>?2ega?vo`89:;<?jib:qjc567898n<o5|if234565m8h0di?01236`4e3zcl<=>?03g0f>uno9:;<=<j4c9pmb6789:9i8l4she34567:l<i7~gh012347c0j2ybk=>?010f<g=tan:;<=>=e8`8wla789:;>hom;rkd45678;oin6}fg123454bkk1xej>?0121aad<{`m;<=>?2dga?vo`89:;<?kib:qjc567898m<o5|if234565n8h0di?01236c4e3zcl<=>?03d0f>uno9:;<=<i4c9pmb6789:9j8l4she34567:o<i7~gh012347`0j2ybk=>?010e<g=tan:;<=>=f8`8wla789:;>kom;rkd45678;lin6}fg123454akk1xej>?0121bad<{`m;<=>?2gga?vo`89:;<?hib:qjc567899;<o5|if23456488h0di?0123754e3zcl<=>?0220f>uno9:;<==?4c9pmb6789:8<8l4she34567;9<i7~gh01234660j2ybk=>?0113<g=tan:;<=><08`8wla789:;?=om;rkd45678::in6}fg1234557kk1xej>?01204ad<{`m;<=>?31ga?vo`89:;<>>ib:qjc567899:<o5|if23456498h0di?0123744e3zcl<=>?0230f>uno9:;<==>4c9pmb6789:8=8l4she34567;8<i7~gh01234670j2ybk=>?0112<g=tan:;<=><18`8wla789:;?<om;rkd45678:;in6}fg1234556kk1xej>?01205ad<{`m;<=>?30ga?vo`89:;<>?ib:qjc5678999<o5|if234564:8h0di?0123774e3zcl<=>?0200f>uno9:;<===4c9pmb6789:8>8l4she34567;;<i7~gh01234640j2ybk=>?0111<g=tan:;<=><28`8wla789:;??om;rkd45678:8in6}fg1234555kk1xej>?01206ad<{`m;<=>?33ga?vo`89:;<><ib:qjc5678998<o5|if234564;8h0di?0123764e3zcl<=>?0210f>uno9:;<==<4c9pmb6789:8?8l4she34567;:<i7~gh01234650j2ybk=>?0110<g=tan:;<=><38`8wla789:;?>om;rkd45678:9in6}fg1234554kk1xej>?01207ad<{`m;<=>?32ga?vo`89:;<>=ib:qjc567899?<o5|if234564<8h0di?0123714e3zcl<=>?0260f>uno9:;<==;4c9pmb6789:888l4she34567;=<i7~gh01234620j2ybk=>?0117<g=tan:;<=><48`8wla789:;?9om;rkd45678:>in6}fg1234553kk1xej>?01200ad<{`m;<=>?35ga?vo`89:;<>:ib:qjc567899><o5|if234564=8h0di?0123704e3zcl<=>?0270f>uno9:;<==:4c9pmb6789:898l4she34567;<<i7~gh01234630j2ybk=>?0116<g=tan:;<=><58`8wla789:;?8om;rkd45678:?in6}fg1234552kk1xej>?01201ad<{`m;<=>?34ga?vo`89:;<>;ib:qjc567899=<o5|if234564>8h0di?0123734e3zcl<=>?0240f>uno9:;<==94c9pmb6789:8:8l4she34567;?<i7~gh01234600j2ybk=>?0115<g=tan:;<=><68`8wla789:;?;om;rkd45678:<in6}fg1234551kk1xej>?01202ad<{`m;<=>?37ga?vo`89:;<>8ib:qjc567899<<o5|if234564?8h0di?0123724e3zcl<=>?0250f>uno9:;<==84c9pmb6789:8;8l4she34567;><i7~gh01234610j2ybk=>?0114<g=tan:;<=><78`8wla789:;?:om;rkd45678:=in6}fg1234550kk1xej>?01203ad<{`m;<=>?36ga?vo`89:;<>9i4:t2*5743qhb|Rolcto\r7Y7Wqy=>5wbhr\ipjsiji~aRx=_10e?}dnxVg~`yolcto\r7Y7$)Rb`d`w BMQA%Abflxjxb|/15,452=j`zTaxb{abaviZp5W9Usy?;;y`jtZvnxlU}>R>Pxrv\542<pkc{S}ge^t1[5Y{}U9=<5Ì˼¿ÅºÒÊöζ´Üµ½µ7:ÌÌÏʹ»Äm1ÚβÞþָÆÇ¿º¾m2Ûɳݱ×ĹÊĹÃÂuIJ{=>?m;AB{7fg=N3<1=v]k1;04g?7?:3;88i6i4;3:2a7|f;=j6<5a26`92>"5?109;95rSbd962e=9181=>:k8g695<0c:2Y:894<ce83>453l1l?6<79d49Pgc<4km0;6<=;d9d7>4?1l<1X=9:519293<7=9:>o4k:5184g2>Udn3;3<7961;300a>a<3;2:i84d2ab>5<628qXh<4=7b82<7<6;=n3j94>97f2?sR5>j0;6<4>:34:Vb62;=h6<6=:017`=`3283=h<5+2619510<^;=26?uz17d95>s6?90;7p*>b582`>d4kh0;6;j51;4gM4092.9;=4<c`9Y3=<4s8:1=<4>c;'621=?0>0(ol53ba8 a6=;jh0(?8n:09'63?=:>n0e?6m:18'64>=:1k0b??8:198m7>?290/><6529c8j7702810e?68:18'64>=:1k0b??8:398m7>1290/><6529c8j7702:10e?6::18'64>=:1k0b??8:598m7>3290/><6529c8j7702<10e?6<:18'64>=:1k0b??8:798m7>5290/><6529c8j7702>10e?6>:18'64>=:1k0b??8:998m7>7290/><6529c8j7702010e?9i:18'64>=:1k0b??8:`98m7?4290/><6529c8j7702k10e?7=:18'64>=:1k0b??8:b98m7?6290/><6529c8j7702m10e?7?:18'64>=:1k0b??8:d98m7>a290/><6529c8j7702o10e?6j:18'64>=:1k0b??8:028?l4?l3:1(??7:3:b?k46?3;:76g=8b83>!460383m6`=16826>=n:131<7*=1981<d=i:8=1=>54i35f>5<#:821>5o4n334>42<3`8j=7>5$33;>7g73g8:;7>4;h0:a?6=,;;36?o?;o023?7<3`82h7>5$33;>7g73g8:;7<4;h0:g?6=,;;36?o?;o023?5<3`82n7>5$33;>7g73g8:;7:4;h0:e?6=,;;36?o?;o023?3<3`8257>5$33;>7g73g8:;784;h0:<?6=,;;36?o?;o023?1<3`82;7>5$33;>7g73g8:;764;h0:2?6=,;;36?o?;o023??<3`8297>5$33;>7g73g8:;7o4;h0b=?6=,;;36?o?;o023?d<3`8j47>5$33;>7g73g8:;7m4;h0b3?6=,;;36?o?;o023?b<3`8j:7>5$33;>7g73g8:;7k4;h0b1?6=,;;36?o?;o023?`<3`8j87>5$33;>7g73g8:;7??;:k1e6<72-8:47<n0:l152<6921b>l<50;&15=<5i91e><951398m7?a290/><652`28j77028907d<64;29 77?2;k;7c<>7;37?>o4k90;66g<bg83>>o3980;66a;0b83>!4603>;n6`=1683?>i38h0;6)<>8;63f>h59>0:76a;0883>!4603>;n6`=1681?>i3810;6)<>8;63f>h59>0876a;0783>!4603>;n6`=1687?>i38<0;6)<>8;63f>h59>0>76a;0583>!4603>;n6`=1685?>i38:0;6)<>8;63f>h59>0<76a;0383>!4603>;n6`=168;?>i3880;6)<>8;63f>h59>0276a;0183>!4603>;n6`=168b?>i4no0;6)<>8;63f>h59>0i76a<fd83>!4603>;n6`=168`?>i4nm0;6)<>8;63f>h59>0o76a<fc83>!4603>;n6`=168f?>i4nh0;6)<>8;63f>h59>0m76a<f883>!4603>;n6`=16824>=h;o21<7*=19874g=i:8=1=<54o2d4>5<#:8218=l4n334>44<3f9m:7>5$33;>16e3g8:;7?<;:m0b0<72-8:47:?b:l152<6<21d?k:50;&15=<38k1e><951498k6`4290/><6541`8j77028<07b=i2;29 77?2=:i7c<>7;34?>i4n90;6)<>8;63f>h59>0:465`3dd94?"5910?<o5a20595<=<g:on6=4+20:905d<f;;<6<o4;n1f`?6=,;;369>m;o023?7e32e8in4?:%02<?27j2d9=:4>c:9l7`d=83.9=54;0c9m641=9m10c>kn:18'64>=<9h0b??8:0g8?j5b13:1(??7:52a?k46?3;m76a<e983>!4603>;n6`=16814>=h;l=1<7*=19874g=i:8=1><54o2g6>5<#:8218=l4n334>74<3f9n87>5$33;>16e3g8:;7<<;:m0a6<72-8:47:?b:l152<5<21d?h<50;&15=<38k1e><952498k6c6290/><6541`8j7702;<07b=j0;29 77?2=:i7c<>7;04?>i4lo0;6)<>8;63f>h59>09465`3eg94?"5910?<o5a20596<=<g:no6=4+20:905d<f;;<6?o4;n1gg?6=,;;369>m;o023?4e32e8hl4?:%02<?27j2d9=:4=c:9l7a?=83.9=54;0c9m641=:m10c>j7:18'64>=<9h0b??8:3g8?j5c?3:1(??7:52a?k46?38m76a<d783>!4603>;n6`=16804>=h;m?1<7*=19874g=i:8=1?<54o2f7>5<#:8218=l4n334>64<3f9o?7>5$33;>16e3g8:;7=<;:m0`7<72-8:47:?b:l152<4<21d?i?50;&15=<38k1e><953498k177290/><6541`8j7702:<07b:?f;29 77?2=:i7c<>7;14?>i38l0;6)<>8;63f>h59>08465`41f94?"5910?<o5a20597<=<g=:<6=4+20:905d<f;;<6>o4;n1eg?6=,;;369>m;o023?5e32e8j<4?:%02<?27j2d9=:4<c:9l7`0=83.9=54;0c9m641=;m10c>jm:18'64>=<9h0b??8:2g8?j5c83:1(??7:52a?k46?39m76l=a`83>3`=98>1>h8tH352?!4083=296T88;1xa?`=9k0v(:7n:6;:?!1>j3=246g<0;29 77?2;l0b??8:198m7b=83.9=54=f:l152<632c9o7>5$33;>7`<f;;<6?54i3`94?"59109j6`=1680?>o513:1(??7:3d8j7702=10e?650;&15=<5n2d9=:4:;:k13?6=,;;36?h4n334>3=<a;<1<7*=1981b>h59>0<76g=5;29 77?2;l0b??8:998m72=83.9=54=f:l152<>32c9?7>5$33;>7`<f;;<6l54i2:94?"59109j6`=168a?>o4?3:1(??7:3d8j7702j10e>850;&15=<5n2d9=:4k;:k01?6=,;;36?h4n334>`=<a:>1<7*=1981b>h59>0m76g<3;29 77?2;l0b??8:028?l55290/><652g9m641=9810e>?50;&15=<5n2d9=:4>2:9j6`<72-8:47<i;o023?7432c9>7>5$33;>7`<f;;<6<:4;h6:>5<#:821855a20594>=n<?0;6)<>8;6;?k46?3;07d:::18'64>=<11e><952:9j01<72-8:47:7;o023?5<3`>96=4+20:90==i:8=1865f4083>!4603>37c<>7;78?l27290/><65499m641=>21b?k4?:%02<?2?3g8:;794;h1f>5<#:821855a2059<>=n;m0;6)<>8;6;?k46?3307d=l:18'64>=<11e><95a:9j14<72-8:47:7;o023?d<3`?;6=4+20:90==i:8=1o65f4g83>!4603>37c<>7;f8?l2b290/><65499m641=m21b8i4?:%02<?2?3g8:;7h4;h6`>5<#:821855a205955=<a=h1<7*=1987<>h59>0:=65f4`83>!4603>37c<>7;31?>o3?3:1(??7:5:8j77028907d=m:18'64>=<11e><951598mgb=831bn?4?::k12=<722c<57>5;h05a?6=3f8=?7>5$33;>7053g8:;7>4;n055?6=,;;36?8=;o023?7<3f8=<7>5$33;>7053g8:;7<4;n06b?6=,;;36?8=;o023?5<3f8>h7>5$33;>7053g8:;7:4;n06g?6=,;;36?8=;o023?3<3f8>n7>5$33;>7053g8:;784;n06e?6=,;;36?8=;o023?1<3f8>57>5$33;>7053g8:;764;n06<?6=,;;36?8=;o023??<3f8>;7>5$33;>7053g8:;7o4;n062?6=,;;36?8=;o023?d<3f8>97>5$33;>7053g8:;7m4;n060?6=,;;36?8=;o023?b<3f8>>7>5$33;>7053g8:;7k4;n065?6=,;;36?8=;o023?`<3f8><7>5$33;>7053g8:;7??;:m10c<72-8:47<92:l152<6921d>9k50;&15=<5>;1e><951398k72c290/><652708j77028907b<;c;29 77?2;<97c<>7;37?>i5<k0;6)<>8;056>h59>0:965`25c94?"59109:?5a205953=<g;>26=4+20:9634<f;;<6<94;n073?6=,;;36?8=;o023?7?32e98;4?:%02<?41:2d9=:4>9:9l613=83.9=54=639m641=9h10c?:;:18'64>=:?80b??8:0`8?j43;3:1(??7:341?k46?3;h76a=4383>!46038=>6`=1682`>=h:=;1<7*=198127=i:8=1=h54o363>5<#:821>;<4n334>4`<3f88j7>5$33;>7053g8:;7<?;:m17`<72-8:47<92:l152<5921d>>m50;&15=<5>;1e><952398k75e290/><652708j7702;907b<<a;29 77?2;<97c<>7;07?>i5;00;6)<>8;056>h59>09965`22:94?"59109:?5a205963=<g;9<6=4+20:9634<f;;<6?94;n002?6=,;;36?8=;o023?4?32e9?84?:%02<?41:2d9=:4=9:9l662=83.9=54=639m641=:h10c?=<:18'64>=:?80b??8:3`8?j4493:1(??7:341?k46?38h76a=3183>!46038=>6`=1681`>=h:;l1<7*=198127=i:8=1>h54o30f>5<#:821>;<4n334>7`<3f89h7>5$33;>7053g8:;7=?;:m16f<72-8:47<92:l152<4921d>?l50;&15=<5>;1e><953398k74f290/><652708j7702:907b<=9;29 77?2;<97c<>7;17?>i5:10;6)<>8;056>h59>08965`27594?"59109:?5a205973=<g;<=6=4+20:9634<f;;<6>94;n051?6=,;;36?8=;o023?5?32e9:94?:%02<?41:2d9=:4<9:9l60c=83.9=54=639m641=;h10c?;<:18'64>=:?80b??8:2`8?j4303:1(??7:341?k46?39h76a=3e83>!46038=>6`=1680`>=h::81<7*=198127=i:8=1?h54o304>5<#:821>;<4n334>6`<3k8jn7>51;294~"5?909;?5G2648L7163f;3=7>5;|`1ef<7280;6=u+262956c<@;==7E<81:m27a<722wi>lj50;36e?6=8r.9;=4>3c9K620<@;=:7W97:cy2a?g=910::7956;36>41=03?1=94r$05e>6eb3g8;6=5a2083?k5>291e?l4?;ocg>5=#il0:;95ad383?k74i3:0b<8k:19m52c=82d9=44?;%011?41n2di=7>4ic494?=n:8l1<75f23294?=nj>0;66g=2083>>oe13:17dl::188kg6=831b>?=50;9j674=831bni4?::k15`<722ci47>5;h`7>5<<ahi1<75fb`83>>oe;3:17boi:188m77c2900e?<;:188m12=83.9=54;3:l152<732c?>7>5$33;>15<f;;<6<54i5394?"5910??6`=1681?>o383:1(??7:518j7702:10e>h50;&15=<3;2d9=:4;;:k0a?6=,;;369=4n334>0=<a:n1<7*=19877>h59>0=76g<c;29 77?2=90b??8:698m07=83.9=54;3:l152<?32c><7>5$33;>15<f;;<6454i5d94?"5910??6`=168b?>o3m3:1(??7:518j7702k10e9j50;&15=<3;2d9=:4l;:k7g?6=,;;369=4n334>a=<a=h1<7*=19877>h59>0n76g;a;29 77?2=90b??8:g98m11=83.9=54;3:l152<6821b?o4?:%02<?243g8:;7?>;:m5a?6=,;;36;j4n334>5=<g?i1<7*=1985`>h59>0:76a9b;29 77?2?n0b??8:398k3g=83.9=549d:l152<432e=57>5$33;>3b<f;;<6954o7:94?"5910=h6`=1686?>i1?3:1(??7:7f8j7702?10c;850;&15=<1l2d9=:48;:m43?6=,;;36;j4n334>==<g><1<7*=1985`>h59>0276a85;29 77?2?n0b??8:`98k22=83.9=549d:l152<e32e<?7>5$33;>3b<f;;<6n54o6094?"5910=h6`=168g?>i093:1(??7:7f8j7702l10c:>50;&15=<1l2d9=:4i;:m5b?6=,;;36;j4n334>46<3f<>6=4+20:92a=i:8=1=<54i0fe>5<#:821=ik4n334>5=<a8no6=4+20:95ac<f;;<6<54i0f`>5<#:821=ik4n334>7=<a8ni6=4+20:95ac<f;;<6>54i0fb>5<#:821=ik4n334>1=<a8n26=4+20:95ac<f;;<6854i0f;>5<#:821=ik4n334>3=<a8n<6=4+20:95ac<f;;<6:54i0f6>5<#:821=ik4n334>==<a8n?6=4+20:95ac<f;;<6454i0f0>5<#:821=ik4n334>d=<a8n96=4+20:95ac<f;;<6o54i0f2>5<#:821=ik4n334>f=<a8n;6=4+20:95ac<f;;<6i54i0ae>5<#:821=ik4n334>`=<a8in6=4+20:95ac<f;;<6k54i0ag>5<#:821=ik4n334>46<3`;ho7>5$33;>4bb3g8:;7?>;:k2gd<72-8:47?ke:l152<6:21b=n750;&15=<6ll1e><951298m4e?290/><651eg8j77028>07d?l7;29 77?28nn7c<>7;36?>o6k?0;6)<>8;3ga>h59>0::65f1b794?"5910:hh5a205952=<a8i?6=4+20:95ac<f;;<6<64;h3`7?6=,;;36<jj;o023?7>32c:o?4?:%02<?7cm2d9=:4>a:9j5f7=83.9=54>dd9m641=9k10e<li:18'64>=9mo0b??8:0a8?l7em3:1(??7:0ff?k46?3;o76g>be83>!4603;oi6`=1682a>=n9ki1<7*=1982``=i:8=1=k54i0`a>5<#:821=ik4n334>76<3`;im7>5$33;>4bb3g8:;7<>;:k2f<<72-8:47?ke:l152<5:21b=o650;&15=<6ll1e><952298m4d0290/><651eg8j7702;>07d?m6;29 77?28nn7c<>7;06?>o6m<0;6)<>8;3ga>h59>09:65f1d694?"5910:hh5a205962=<a8o86=4+20:95ac<f;;<6?64;h3f6?6=,;;36<jj;o023?4>32c:i<4?:%02<?7cm2d9=:4=a:9j5`6=83.9=54>dd9m641=:k10e<j9:18'64>=9mo0b??8:3a8?l7dj3:1(??7:0ff?k46?38o76g>c183>!4603;oi6`=1681a>=n9k?1<7*=1982``=i:8=1>k54i`794?"5910j86`=1683?>of;3:1(??7:`68j7702810el<50;&15=<f<2d9=:4=;:kb5?6=,;;36l:4n334>6=<ah:1<7*=198b0>h59>0?76g6f;29 77?2h>0b??8:498m<c=83.9=54n4:l152<132c2h7>5$33;>d2<f;;<6:54i8`94?"5910j86`=168;?>o>i3:1(??7:`68j7702010e4750;&15=<f<2d9=:4n;:k:<?6=,;;36l:4n334>g=<a0=1<7*=198b0>h59>0h76g66;29 77?2h>0b??8:e98m<3=83.9=54n4:l152<b32c287>5$33;>d2<f;;<6k54i8194?"5910j86`=16824>=n1;0;6)<>8;c7?k46?3;:76g60;29 77?2h>0b??8:008?l>a290/><65a59m641=9:10e5k50;&15=<f<2d9=:4>4:9j<a<72-8:47o;;o023?7232c3o7>5$33;>d2<f;;<6<84;h:a>5<#:821m95a205952=<a1k1<7*=198b0>h59>0:465f8883>!4603k?7c<>7;3:?>o?03:1(??7:`68j77028k07d68:18'64>=i=1e><951c98m=3=83.9=54n4:l152<6k21b494?:%02<?g33g8:;7?k;:k;7?6=,;;36l:4n334>4c<3`296=4+20:9e1=i:8=1=k54i9394?"5910j86`=16814>=n090;6)<>8;c7?k46?38:76g8f;29 77?2h>0b??8:308?l1b290/><65a59m641=::10e:j50;&15=<f<2d9=:4=4:9j3f<72-8:47o;;o023?4232cjn7>5$33;>d2<f;;<6?84;hcb>5<#:821m95a205962=<ah31<7*=198b0>h59>09465fa983>!4603k?7c<>7;0:?>of?3:1(??7:`68j7702;k07do9:18'64>=i=1e><952c98m<e=83.9=54n4:l152<5k21b5<4?:%02<?g33g8:;7<k;:k;2?6=,;;36l:4n334>7c<3`=i6=4+20:9e1=i:8=1>k54o0cg>5<#:821=lm4n334>5=<g8ki6=4+20:95de<f;;<6<54o0cb>5<#:821=lm4n334>7=<g8k26=4+20:95de<f;;<6>54o0c;>5<#:821=lm4n334>1=<g8k<6=4+20:95de<f;;<6854o0c5>5<#:821=lm4n334>3=<g8k>6=4+20:95de<f;;<6:54o0c0>5<#:821=lm4n334>==<g8k96=4+20:95de<f;;<6454o0c2>5<#:821=lm4n334>d=<g8k;6=4+20:95de<f;;<6o54o0;e>5<#:821=lm4n334>f=<g83n6=4+20:95de<f;;<6i54o0;g>5<#:821=lm4n334>`=<g83h6=4+20:95de<f;;<6k54o0;a>5<#:821=lm4n334>46<3f;2m7>5$33;>4gd3g8:;7?>;:m2==<72-8:47?nc:l152<6:21d=4950;&15=<6ij1e><951298k4?1290/><651`a8j77028>07b?65;29 77?28kh7c<>7;36?>i61=0;6)<>8;3bg>h59>0::65`18194?"5910:mn5a205952=<g8396=4+20:95de<f;;<6<64;n3:5?6=,;;36<ol;o023?7>32e:5=4?:%02<?7fk2d9=:4>a:9l5=`=83.9=54>ab9m641=9k10c<6k:18'64>=9hi0b??8:0a8?j7?k3:1(??7:0c`?k46?3;o76a>8c83>!4603;jo6`=1682a>=h91k1<7*=1982ef=i:8=1=k54o0::>5<#:821=lm4n334>76<3f;347>5$33;>4gd3g8:;7<>;:m2<2<72-8:47?nc:l152<5:21d=5850;&15=<6ij1e><952298k4>2290/><651`a8j7702;>07b?74;29 77?28kh7c<>7;06?>i6j:0;6)<>8;3bg>h59>09:65`1c094?"5910:mn5a205962=<g8h:6=4+20:95de<f;;<6?64;n3a4?6=,;;36<ol;o023?4>32e:mk4?:%02<?7fk2d9=:4=a:9l5dc=83.9=54>ab9m641=:k10c<o;:18'64>=9hi0b??8:3a8?j7>13:1(??7:0c`?k46?38o76a>8d83>!4603;jo6`=1681a>=h9191<7*=1982ef=i:8=1>k54i05g>5<#:821=:m4n334>5=<a8=i6=4+20:952e<f;;<6<54i05b>5<#:821=:m4n334>7=<a8=26=4+20:952e<f;;<6>54i05;>5<#:821=:m4n334>1=<a8=<6=4+20:952e<f;;<6854i055>5<#:821=:m4n334>3=<a8=>6=4+20:952e<f;;<6:54ief94?"5910oo6`=1683?>ocj3:1(??7:ea8j7702810eio50;&15=<ck2d9=:4=;:kg=?6=,;;36im4n334>6=<am21<7*=198gg>h59>0?76gk7;29 77?2mi0b??8:498ma0=83.9=54kc:l152<132co97>5$33;>ae<f;;<6:54id494?"5910oo6`=168;?>ob=3:1(??7:ea8j7702010eh:50;&15=<ck2d9=:4n;:kf7?6=,;;36im4n334>g=<al81<7*=198gg>h59>0h76gj1;29 77?2mi0b??8:e98m`6=83.9=54kc:l152<b32coj7>5$33;>ae<f;;<6k54ieg94?"5910oo6`=16824>=nl=0;6)<>8;f`?k46?3;:76a=1183>!46038;j6`=1683?>i58l0;6)<>8;03b>h59>0:76a=0e83>!46038;j6`=1681?>i58j0;6)<>8;03b>h59>0876a=0c83>!46038;j6`=1687?>i58h0;6)<>8;03b>h59>0>76a=0883>!46038;j6`=1685?>i5810;6)<>8;03b>h59>0<76a=0783>!46038;j6`=168;?>i58<0;6)<>8;03b>h59>0276a=0583>!46038;j6`=168b?>i58:0;6)<>8;03b>h59>0i76a=0383>!46038;j6`=168`?>i5880;6)<>8;03b>h59>0o76a=0183>!46038;j6`=168f?>i6no0;6)<>8;03b>h59>0m76a>fd83>!46038;j6`=16824>=h9on1<7*=19814c=i:8=1=<54o0da>5<#:821>=h4n334>44<3f;mm7>5$33;>76a3g8:;7?<;:m2b<<72-8:47<?f:l152<6<21d=k650;&15=<58o1e><951498k4`0290/><6521d8j77028<07b?i6;29 77?2;:m7c<>7;34?>i6n<0;6)<>8;03b>h59>0:465`1g694?"59109<k5a20595<=<g8l86=4+20:965`<f;;<6<o4;n3e6?6=,;;36?>i;o023?7e32e:j=4?:%02<?47n2d9=:4>c:9l5``=83.9=54=0g9m641=9m10c<kj:18'64>=:9l0b??8:0g8?j7bl3:1(??7:32e?k46?3;m76a>eb83>!46038;j6`=16814>=h9lh1<7*=19814c=i:8=1><54o0gb>5<#:821>=h4n334>74<3f;n57>5$33;>76a3g8:;7<<;:m2a=<72-8:47<?f:l152<5<21d=h950;&15=<58o1e><952498k771290/><6521d8j7702;<07b<>5;29 77?2;:m7c<>7;04?>i59=0;6)<>8;03b>h59>09465`20194?"59109<k5a20596<=<g;;96=4+20:965`<f;;<6?o4;n025?6=,;;36?>i;o023?4e32e9<:4?:%02<?47n2d9=:4=c:9l5ce=83.9=54=0g9m641=:m10c<h>:18'64>=:9l0b??8:3g8?j7b>3:1(??7:32e?k46?38m76g=b;29 77?2;k0b??8:198m7?=83.9=54=a:l152<632c947>5$33;>7g<f;;<6?54i3594?"59109m6`=1680?>o5>3:1(??7:3c8j7702=10e?;50;&15=<5i2d9=:4:;:k10?6=,;;36?o4n334>3=<a;91<7*=1981e>h59>0<76g<8;29 77?2;k0b??8:998m61=83.9=54=a:l152<>32c8:7>5$33;>7g<f;;<6l54i2794?"59109m6`=168a?>o4<3:1(??7:3c8j7702j10e>=50;&15=<5i2d9=:4k;:k06?6=,;;36?o4n334>`=<a:;1<7*=1981e>h59>0m76g=e;29 77?2;k0b??8:028?l45290/><652`9m641=9810c<8::18'64>=9?>0b??8:198k404290/><651768j7702810c<8=:18'64>=9?>0b??8:398k406290/><651768j7702:10c<8?:18'64>=9?>0b??8:598k43a290/><651768j7702<10c<;k:18'64>=9?>0b??8:798k43d290/><651768j7702>10c<;m:18'64>=9?>0b??8:998k43f290/><651768j7702010c<;6:18'64>=9?>0b??8:`98k43?290/><651768j7702k10c<;8:18'64>=9?>0b??8:b98k431290/><651768j7702m10c<;::18'64>=9?>0b??8:d98k433290/><651768j7702o10c<;=:18'64>=9?>0b??8:028?j7293:1(??7:047?k46?3;:76a>5183>!4603;=86`=16826>=h9=l1<7*=198221=i:8=1=>54o06f>5<#:821=;:4n334>42<3f;?h7>5$33;>4033g8:;7?:;:m20f<72-8:47?94:l152<6>21d=9l50;&15=<6>=1e><951698k42f290/><651768j77028207b?;9;29 77?28<?7c<>7;3:?>i6>j0;6)<>8;350>h59>0:m65`17`94?"5910::95a20595g=<g8<j6=4+20:9532<f;;<6<m4;n35=?6=,;;36<8;;o023?7c32e::54?:%02<?71<2d9=:4>e:9l531=83.9=54>659m641=9o10c<89:18'64>=9?>0b??8:328?j72m3:1(??7:047?k46?38:76a>5283>!4603;=86`=16816>=h9=21<7*=198221=i:8=1>>54i4`94?"5910>m6`=1683?M41j21b944?:%02<?3f3g8:;7?4H34a?>o203:1(??7:4c8j7702;1C>;l4;h74>5<#:8219l5a20597>N5>k10e8850;&15=<2i2d9=:4;;I05f>=n=<0;6)<>8;7b?k46?3?0D?8m;:k60?6=,;;368o4n334>3=O:?h07d;<:18'64>==h1e><957:J12g=<a?>1<7*=1986e>h59>037E<9b:9j26<72-8:47;n;o023??<@;<i76g92;29 77?2<k0b??8:`9K63d<3`<:6=4+20:91d=i:8=1n6F=6c98m36=83.9=54:a:l152<d3A8=n65f5g83>!4603?j7c<>7;f8L70e32c>i7>5$33;>0g<f;;<6h5G27`8?l3c290/><655`9m641=n2B9:o54i4a94?"5910>m6`=16824>N5>k10e8<50;&15=<2i2d9=:4>1:J12g=<uk8ji7>514c94?6|,;=;6<=m;I042>N5?81Q;54m{0g9e?7?28<1;78514823?>==3;?6p*>7g80g`=i:90;7c<>:19m7<<73g9j6=5aae83?!gb28=?7cj=:19m56g=82d::i4?;o34a?6<f;;26=5+237963`<fk;1<6gm6;29?l46n3:17d<=0;29?ld02900e?<>:188mg?=831bn84?::ma4?6=3`89?7>5;h016?6=3`ho6=44i33f>5<<ak21<75fb583>>ofk3:17dln:188mg5=831dmk4?::k15a<722c9>94?::k70?6=,;;369=4n334>5=<a=81<7*=19877>h59>0:76g;1;29 77?2=90b??8:398m16=83.9=54;3:l152<432c8j7>5$33;>15<f;;<6954i2g94?"5910??6`=1686?>o4l3:1(??7:518j7702?10e>m50;&15=<3;2d9=:48;:k65?6=,;;369=4n334>==<a<:1<7*=19877>h59>0276g;f;29 77?2=90b??8:`98m1c=83.9=54;3:l152<e32c?h7>5$33;>15<f;;<6n54i5a94?"5910??6`=168g?>o3j3:1(??7:518j7702l10e9o50;&15=<3;2d9=:4i;:k73?6=,;;369=4n334>46<3`9i6=4+20:906=i:8=1=<54o7g94?"5910=h6`=1683?>i1k3:1(??7:7f8j7702810c;l50;&15=<1l2d9=:4=;:m5e?6=,;;36;j4n334>6=<g?31<7*=1985`>h59>0?76a98;29 77?2?n0b??8:498k31=83.9=549d:l152<132e=:7>5$33;>3b<f;;<6:54o6594?"5910=h6`=168;?>i0>3:1(??7:7f8j7702010c:;50;&15=<1l2d9=:4n;:m40?6=,;;36;j4n334>g=<g>91<7*=1985`>h59>0h76a82;29 77?2?n0b??8:e98k27=83.9=549d:l152<b32e<<7>5$33;>3b<f;;<6k54o7d94?"5910=h6`=16824>=h><0;6)<>8;4g?k46?3;:76g>dg83>!4603;oi6`=1683?>o6lm0;6)<>8;3ga>h59>0:76g>db83>!4603;oi6`=1681?>o6lk0;6)<>8;3ga>h59>0876g>d`83>!4603;oi6`=1687?>o6l00;6)<>8;3ga>h59>0>76g>d983>!4603;oi6`=1685?>o6l>0;6)<>8;3ga>h59>0<76g>d483>!4603;oi6`=168;?>o6l=0;6)<>8;3ga>h59>0276g>d283>!4603;oi6`=168b?>o6l;0;6)<>8;3ga>h59>0i76g>d083>!4603;oi6`=168`?>o6l90;6)<>8;3ga>h59>0o76g>cg83>!4603;oi6`=168f?>o6kl0;6)<>8;3ga>h59>0m76g>ce83>!4603;oi6`=16824>=n9ji1<7*=1982``=i:8=1=<54i0ab>5<#:821=ik4n334>44<3`;h57>5$33;>4bb3g8:;7?<;:k2g=<72-8:47?ke:l152<6<21b=n950;&15=<6ll1e><951498m4e1290/><651eg8j77028<07d?l5;29 77?28nn7c<>7;34?>o6k=0;6)<>8;3ga>h59>0:465f1b194?"5910:hh5a20595<=<a8i96=4+20:95ac<f;;<6<o4;h3`5?6=,;;36<jj;o023?7e32c:nk4?:%02<?7cm2d9=:4>c:9j5gc=83.9=54>dd9m641=9m10e<lk:18'64>=9mo0b??8:0g8?l7ek3:1(??7:0ff?k46?3;m76g>bc83>!4603;oi6`=16814>=n9kk1<7*=1982``=i:8=1><54i0`:>5<#:821=ik4n334>74<3`;i47>5$33;>4bb3g8:;7<<;:k2f2<72-8:47?ke:l152<5<21b=o850;&15=<6ll1e><952498m4c2290/><651eg8j7702;<07d?j4;29 77?28nn7c<>7;04?>o6m:0;6)<>8;3ga>h59>09465f1d094?"5910:hh5a20596<=<a8o:6=4+20:95ac<f;;<6?o4;h3f4?6=,;;36<jj;o023?4e32c:h;4?:%02<?7cm2d9=:4=c:9j5fd=83.9=54>dd9m641=:m10e<m?:18'64>=9mo0b??8:3g8?l7e=3:1(??7:0ff?k46?38m76gn5;29 77?2h>0b??8:198md5=83.9=54n4:l152<632cj>7>5$33;>d2<f;;<6?54i`394?"5910j86`=1680?>of83:1(??7:`68j7702=10e4h50;&15=<f<2d9=:4:;:k:a?6=,;;36l:4n334>3=<a0n1<7*=198b0>h59>0<76g6b;29 77?2h>0b??8:998m<g=83.9=54n4:l152<>32c257>5$33;>d2<f;;<6l54i8:94?"5910j86`=168a?>o>?3:1(??7:`68j7702j10e4850;&15=<f<2d9=:4k;:k:1?6=,;;36l:4n334>`=<a0>1<7*=198b0>h59>0m76g63;29 77?2h>0b??8:028?l?5290/><65a59m641=9810e4>50;&15=<f<2d9=:4>2:9j<c<72-8:47o;;o023?7432c3i7>5$33;>d2<f;;<6<:4;h:g>5<#:821m95a205950=<a1i1<7*=198b0>h59>0::65f8c83>!4603k?7c<>7;34?>o?i3:1(??7:`68j77028207d66:18'64>=i=1e><951898m=>=83.9=54n4:l152<6i21b4:4?:%02<?g33g8:;7?m;:k;1?6=,;;36l:4n334>4e<3`2?6=4+20:9e1=i:8=1=i54i9194?"5910j86`=1682a>=n0;0;6)<>8;c7?k46?3;m76g71;29 77?2h>0b??8:328?l>7290/><65a59m641=:810e:h50;&15=<f<2d9=:4=2:9j3`<72-8:47o;;o023?4432c<h7>5$33;>d2<f;;<6?:4;h5`>5<#:821m95a205960=<ahh1<7*=198b0>h59>09:65fa`83>!4603k?7c<>7;04?>of13:1(??7:`68j7702;207do7:18'64>=i=1e><952898md1=83.9=54n4:l152<5i21bm;4?:%02<?g33g8:;7<m;:k:g?6=,;;36l:4n334>7e<3`3:6=4+20:9e1=i:8=1>i54i9494?"5910j86`=1681a>=n?k0;6)<>8;c7?k46?38m76a>ae83>!4603;jo6`=1683?>i6ik0;6)<>8;3bg>h59>0:76a>a`83>!4603;jo6`=1681?>i6i00;6)<>8;3bg>h59>0876a>a983>!4603;jo6`=1687?>i6i>0;6)<>8;3bg>h59>0>76a>a783>!4603;jo6`=1685?>i6i<0;6)<>8;3bg>h59>0<76a>a283>!4603;jo6`=168;?>i6i;0;6)<>8;3bg>h59>0276a>a083>!4603;jo6`=168b?>i6i90;6)<>8;3bg>h59>0i76a>9g83>!4603;jo6`=168`?>i61l0;6)<>8;3bg>h59>0o76a>9e83>!4603;jo6`=168f?>i61j0;6)<>8;3bg>h59>0m76a>9c83>!4603;jo6`=16824>=h90k1<7*=1982ef=i:8=1=<54o0;;>5<#:821=lm4n334>44<3f;2;7>5$33;>4gd3g8:;7?<;:m2=3<72-8:47?nc:l152<6<21d=4;50;&15=<6ij1e><951498k4?3290/><651`a8j77028<07b?63;29 77?28kh7c<>7;34?>i61;0;6)<>8;3bg>h59>0:465`18394?"5910:mn5a20595<=<g83;6=4+20:95de<f;;<6<o4;n3;b?6=,;;36<ol;o023?7e32e:4i4?:%02<?7fk2d9=:4>c:9l5=e=83.9=54>ab9m641=9m10c<6m:18'64>=9hi0b??8:0g8?j7?i3:1(??7:0c`?k46?3;m76a>8883>!4603;jo6`=16814>=h9121<7*=1982ef=i:8=1><54o0:4>5<#:821=lm4n334>74<3f;3:7>5$33;>4gd3g8:;7<<;:m2<0<72-8:47?nc:l152<5<21d=5:50;&15=<6ij1e><952498k4d4290/><651`a8j7702;<07b?m2;29 77?28kh7c<>7;04?>i6j80;6)<>8;3bg>h59>09465`1c294?"5910:mn5a20596<=<g8km6=4+20:95de<f;;<6?o4;n3ba?6=,;;36<ol;o023?4e32e:m94?:%02<?7fk2d9=:4=c:9l5<?=83.9=54>ab9m641=:m10c<6j:18'64>=9hi0b??8:3g8?j7?;3:1(??7:0c`?k46?38m76g>7e83>!4603;<o6`=1683?>o6?k0;6)<>8;34g>h59>0:76g>7`83>!4603;<o6`=1681?>o6?00;6)<>8;34g>h59>0876g>7983>!4603;<o6`=1687?>o6?>0;6)<>8;34g>h59>0>76g>7783>!4603;<o6`=1685?>o6?<0;6)<>8;34g>h59>0<76gkd;29 77?2mi0b??8:198mad=83.9=54kc:l152<632com7>5$33;>ae<f;;<6?54ie;94?"5910oo6`=1680?>oc03:1(??7:ea8j7702=10ei950;&15=<ck2d9=:4:;:kg2?6=,;;36im4n334>3=<am?1<7*=198gg>h59>0<76gj6;29 77?2mi0b??8:998m`3=83.9=54kc:l152<>32cn87>5$33;>ae<f;;<6l54id194?"5910oo6`=168a?>ob:3:1(??7:ea8j7702j10eh?50;&15=<ck2d9=:4k;:kf4?6=,;;36im4n334>`=<aml1<7*=198gg>h59>0m76gke;29 77?2mi0b??8:028?lb3290/><65db9m641=9810c???:18'64>=:9l0b??8:198k76b290/><6521d8j7702810c?>k:18'64>=:9l0b??8:398k76d290/><6521d8j7702:10c?>m:18'64>=:9l0b??8:598k76f290/><6521d8j7702<10c?>6:18'64>=:9l0b??8:798k76?290/><6521d8j7702>10c?>9:18'64>=:9l0b??8:998k762290/><6521d8j7702010c?>;:18'64>=:9l0b??8:`98k764290/><6521d8j7702k10c?>=:18'64>=:9l0b??8:b98k766290/><6521d8j7702m10c?>?:18'64>=:9l0b??8:d98k4`a290/><6521d8j7702o10c<hj:18'64>=:9l0b??8:028?j7al3:1(??7:32e?k46?3;:76a>fc83>!46038;j6`=16826>=h9ok1<7*=19814c=i:8=1=>54o0d:>5<#:821>=h4n334>42<3f;m47>5$33;>76a3g8:;7?:;:m2b2<72-8:47<?f:l152<6>21d=k850;&15=<58o1e><951698k4`2290/><6521d8j77028207b?i4;29 77?2;:m7c<>7;3:?>i6n:0;6)<>8;03b>h59>0:m65`1g094?"59109<k5a20595g=<g8l;6=4+20:965`<f;;<6<m4;n3fb?6=,;;36?>i;o023?7c32e:ih4?:%02<?47n2d9=:4>e:9l5`b=83.9=54=0g9m641=9o10c<kl:18'64>=:9l0b??8:328?j7bj3:1(??7:32e?k46?38:76a>e`83>!46038;j6`=16816>=h9l31<7*=19814c=i:8=1>>54o0g;>5<#:821>=h4n334>72<3f;n;7>5$33;>76a3g8:;7<:;:m153<72-8:47<?f:l152<5>21d><;50;&15=<58o1e><952698k773290/><6521d8j7702;207b<>3;29 77?2;:m7c<>7;0:?>i59;0;6)<>8;03b>h59>09m65`20394?"59109<k5a20596g=<g;:<6=4+20:965`<f;;<6?m4;n3eg?6=,;;36?>i;o023?4c32e:j<4?:%02<?47n2d9=:4=e:9l5`0=83.9=54=0g9m641=:o10e?l50;&15=<5i2d9=:4?;:k1=?6=,;;36?o4n334>4=<a;21<7*=1981e>h59>0976g=7;29 77?2;k0b??8:298m70=83.9=54=a:l152<332c997>5$33;>7g<f;;<6854i3694?"59109m6`=1685?>o5;3:1(??7:3c8j7702>10e>650;&15=<5i2d9=:47;:k03?6=,;;36?o4n334><=<a:<1<7*=1981e>h59>0j76g<5;29 77?2;k0b??8:c98m62=83.9=54=a:l152<d32c8?7>5$33;>7g<f;;<6i54i2094?"59109m6`=168f?>o493:1(??7:3c8j7702o10e?k50;&15=<5i2d9=:4>0:9j67<72-8:47<n;o023?7632e::84?:%02<?71<2d9=:4?;:m226<72-8:47?94:l152<632e::?4?:%02<?71<2d9=:4=;:m224<72-8:47?94:l152<432e::=4?:%02<?71<2d9=:4;;:m21c<72-8:47?94:l152<232e:9i4?:%02<?71<2d9=:49;:m21f<72-8:47?94:l152<032e:9o4?:%02<?71<2d9=:47;:m21d<72-8:47?94:l152<>32e:944?:%02<?71<2d9=:4n;:m21=<72-8:47?94:l152<e32e:9:4?:%02<?71<2d9=:4l;:m213<72-8:47?94:l152<c32e:984?:%02<?71<2d9=:4j;:m211<72-8:47?94:l152<a32e:9?4?:%02<?71<2d9=:4>0:9l507=83.9=54>659m641=9810c<;?:18'64>=9?>0b??8:008?j73n3:1(??7:047?k46?3;876a>4d83>!4603;=86`=16820>=h9=n1<7*=198221=i:8=1=854o06`>5<#:821=;:4n334>40<3f;?n7>5$33;>4033g8:;7?8;:m20d<72-8:47?94:l152<6021d=9750;&15=<6>=1e><951898k40d290/><651768j77028k07b?9b;29 77?28<?7c<>7;3a?>i6>h0;6)<>8;350>h59>0:o65`17;94?"5910::95a20595a=<g8<36=4+20:9532<f;;<6<k4;n353?6=,;;36<8;;o023?7a32e::;4?:%02<?71<2d9=:4=0:9l50c=83.9=54>659m641=:810c<;<:18'64>=9?>0b??8:308?j7303:1(??7:047?k46?38876g:b;29 77?2<k0b??8:19K63d<3`?26=4+20:91d=i:8=1=6F=6c98m0>=83.9=54:a:l152<53A8=n65f5683>!4603?j7c<>7;18L70e32c>:7>5$33;>0g<f;;<695G27`8?l32290/><655`9m641==2B9:o54i4694?"5910>m6`=1685?M41j21b9>4?:%02<?3f3g8:;794H34a?>o1<3:1(??7:4c8j770211C>;l4;h40>5<#:8219l5a2059=>N5>k10e;<50;&15=<2i2d9=:4n;I05f>=n>80;6)<>8;7b?k46?3h0D?8m;:k54?6=,;;368o4n334>f=O:?h07d;i:18'64>==h1e><95d:J12g=<a<o1<7*=1986e>h59>0n7E<9b:9j1a<72-8:47;n;o023?`<@;<i76g:c;29 77?2<k0b??8:028L70e32c>>7>5$33;>0g<f;;<6<?4H34a?>{e:hl1<7?:a;294~"5?90:?o5G2648L7163S=36ou>e;c95=<6>3=1:7?::059<?3=9=0v(<9i:2af?k47291e><4?;o1:>5=i;h0;7cok:19'e`<6?=1eh?4?;o30e?6<f8<o6=5a16g94>h5900;7)<=5;05b>he93:0eo850;9j64`=831b>?>50;9jf2<722c9><4?::ka=?6=3`h>6=44oc294?=n:;91<75f23094?=njm0;66g=1d83>>oe03:17dl;:188mde=831bnl4?::ka7?6=3fkm6=44i33g>5<<a;8?6=44i5694?"5910??6`=1683?>o3:3:1(??7:518j7702810e9?50;&15=<3;2d9=:4=;:k74?6=,;;369=4n334>6=<a:l1<7*=19877>h59>0?76g<e;29 77?2=90b??8:498m6b=83.9=54;3:l152<132c8o7>5$33;>15<f;;<6:54i4394?"5910??6`=168;?>o283:1(??7:518j7702010e9h50;&15=<3;2d9=:4n;:k7a?6=,;;369=4n334>g=<a=n1<7*=19877>h59>0h76g;c;29 77?2=90b??8:e98m1d=83.9=54;3:l152<b32c?m7>5$33;>15<f;;<6k54i5594?"5910??6`=16824>=n;k0;6)<>8;60?k46?3;:76a9e;29 77?2?n0b??8:198k3e=83.9=549d:l152<632e=n7>5$33;>3b<f;;<6?54o7c94?"5910=h6`=1680?>i113:1(??7:7f8j7702=10c;650;&15=<1l2d9=:4:;:m53?6=,;;36;j4n334>3=<g?<1<7*=1985`>h59>0<76a87;29 77?2?n0b??8:998k20=83.9=549d:l152<>32e<97>5$33;>3b<f;;<6l54o6694?"5910=h6`=168a?>i0;3:1(??7:7f8j7702j10c:<50;&15=<1l2d9=:4k;:m45?6=,;;36;j4n334>`=<g>:1<7*=1985`>h59>0m76a9f;29 77?2?n0b??8:028?j02290/><656e9m641=9810e<ji:18'64>=9mo0b??8:198m4bc290/><651eg8j7702810e<jl:18'64>=9mo0b??8:398m4be290/><651eg8j7702:10e<jn:18'64>=9mo0b??8:598m4b>290/><651eg8j7702<10e<j7:18'64>=9mo0b??8:798m4b0290/><651eg8j7702>10e<j::18'64>=9mo0b??8:998m4b3290/><651eg8j7702010e<j<:18'64>=9mo0b??8:`98m4b5290/><651eg8j7702k10e<j>:18'64>=9mo0b??8:b98m4b7290/><651eg8j7702m10e<mi:18'64>=9mo0b??8:d98m4eb290/><651eg8j7702o10e<mk:18'64>=9mo0b??8:028?l7dk3:1(??7:0ff?k46?3;:76g>c`83>!4603;oi6`=16826>=n9j31<7*=1982``=i:8=1=>54i0a;>5<#:821=ik4n334>42<3`;h;7>5$33;>4bb3g8:;7?:;:k2g3<72-8:47?ke:l152<6>21b=n;50;&15=<6ll1e><951698m4e3290/><651eg8j77028207d?l3;29 77?28nn7c<>7;3:?>o6k;0;6)<>8;3ga>h59>0:m65f1b394?"5910:hh5a20595g=<a8hm6=4+20:95ac<f;;<6<m4;h3aa?6=,;;36<jj;o023?7c32c:ni4?:%02<?7cm2d9=:4>e:9j5ge=83.9=54>dd9m641=9o10e<lm:18'64>=9mo0b??8:328?l7ei3:1(??7:0ff?k46?38:76g>b883>!4603;oi6`=16816>=n9k21<7*=1982``=i:8=1>>54i0`4>5<#:821=ik4n334>72<3`;i:7>5$33;>4bb3g8:;7<:;:k2a0<72-8:47?ke:l152<5>21b=h:50;&15=<6ll1e><952698m4c4290/><651eg8j7702;207d?j2;29 77?28nn7c<>7;0:?>o6m80;6)<>8;3ga>h59>09m65f1d294?"5910:hh5a20596g=<a8n=6=4+20:95ac<f;;<6?m4;h3`f?6=,;;36<jj;o023?4c32c:o=4?:%02<?7cm2d9=:4=e:9j5g3=83.9=54>dd9m641=:o10el;50;&15=<f<2d9=:4?;:kb7?6=,;;36l:4n334>4=<ah81<7*=198b0>h59>0976gn1;29 77?2h>0b??8:298md6=83.9=54n4:l152<332c2j7>5$33;>d2<f;;<6854i8g94?"5910j86`=1685?>o>l3:1(??7:`68j7702>10e4l50;&15=<f<2d9=:47;:k:e?6=,;;36l:4n334><=<a031<7*=198b0>h59>0j76g68;29 77?2h>0b??8:c98m<1=83.9=54n4:l152<d32c2:7>5$33;>d2<f;;<6i54i8794?"5910j86`=168f?>o><3:1(??7:`68j7702o10e4=50;&15=<f<2d9=:4>0:9j=7<72-8:47o;;o023?7632c2<7>5$33;>d2<f;;<6<<4;h:e>5<#:821m95a205956=<a1o1<7*=198b0>h59>0:865f8e83>!4603k?7c<>7;36?>o?k3:1(??7:`68j77028<07d6m:18'64>=i=1e><951698m=g=83.9=54n4:l152<6021b444?:%02<?g33g8:;7?6;:k;<?6=,;;36l:4n334>4g<3`2<6=4+20:9e1=i:8=1=o54i9794?"5910j86`=1682g>=n0=0;6)<>8;c7?k46?3;o76g73;29 77?2h>0b??8:0g8?l>5290/><65a59m641=9o10e5?50;&15=<f<2d9=:4=0:9j<5<72-8:47o;;o023?4632c<j7>5$33;>d2<f;;<6?<4;h5f>5<#:821m95a205966=<a>n1<7*=198b0>h59>09865f7b83>!4603k?7c<>7;06?>ofj3:1(??7:`68j7702;<07don:18'64>=i=1e><952698md?=83.9=54n4:l152<5021bm54?:%02<?g33g8:;7<6;:kb3?6=,;;36l:4n334>7g<3`k=6=4+20:9e1=i:8=1>o54i8a94?"5910j86`=1681g>=n180;6)<>8;c7?k46?38o76g76;29 77?2h>0b??8:3g8?l1e290/><65a59m641=:o10c<ok:18'64>=9hi0b??8:198k4ge290/><651`a8j7702810c<on:18'64>=9hi0b??8:398k4g>290/><651`a8j7702:10c<o7:18'64>=9hi0b??8:598k4g0290/><651`a8j7702<10c<o9:18'64>=9hi0b??8:798k4g2290/><651`a8j7702>10c<o<:18'64>=9hi0b??8:998k4g5290/><651`a8j7702010c<o>:18'64>=9hi0b??8:`98k4g7290/><651`a8j7702k10c<7i:18'64>=9hi0b??8:b98k4?b290/><651`a8j7702m10c<7k:18'64>=9hi0b??8:d98k4?d290/><651`a8j7702o10c<7m:18'64>=9hi0b??8:028?j7>i3:1(??7:0c`?k46?3;:76a>9983>!4603;jo6`=16826>=h90=1<7*=1982ef=i:8=1=>54o0;5>5<#:821=lm4n334>42<3f;297>5$33;>4gd3g8:;7?:;:m2=1<72-8:47?nc:l152<6>21d=4=50;&15=<6ij1e><951698k4?5290/><651`a8j77028207b?61;29 77?28kh7c<>7;3:?>i6190;6)<>8;3bg>h59>0:m65`19d94?"5910:mn5a20595g=<g82o6=4+20:95de<f;;<6<m4;n3;g?6=,;;36<ol;o023?7c32e:4o4?:%02<?7fk2d9=:4>e:9l5=g=83.9=54>ab9m641=9o10c<66:18'64>=9hi0b??8:328?j7?03:1(??7:0c`?k46?38:76a>8683>!4603;jo6`=16816>=h91<1<7*=1982ef=i:8=1>>54o0:6>5<#:821=lm4n334>72<3f;387>5$33;>4gd3g8:;7<:;:m2f6<72-8:47?nc:l152<5>21d=o<50;&15=<6ij1e><952698k4d6290/><651`a8j7702;207b?m0;29 77?28kh7c<>7;0:?>i6io0;6)<>8;3bg>h59>09m65`1`g94?"5910:mn5a20596g=<g8k?6=4+20:95de<f;;<6?m4;n3:=?6=,;;36<ol;o023?4c32e:4h4?:%02<?7fk2d9=:4=e:9l5=5=83.9=54>ab9m641=:o10e<9k:18'64>=9>i0b??8:198m41e290/><6516a8j7702810e<9n:18'64>=9>i0b??8:398m41>290/><6516a8j7702:10e<97:18'64>=9>i0b??8:598m410290/><6516a8j7702<10e<99:18'64>=9>i0b??8:798m412290/><6516a8j7702>10eij50;&15=<ck2d9=:4?;:kgf?6=,;;36im4n334>4=<amk1<7*=198gg>h59>0976gk9;29 77?2mi0b??8:298ma>=83.9=54kc:l152<332co;7>5$33;>ae<f;;<6854ie494?"5910oo6`=1685?>oc=3:1(??7:ea8j7702>10eh850;&15=<ck2d9=:47;:kf1?6=,;;36im4n334><=<al>1<7*=198gg>h59>0j76gj3;29 77?2mi0b??8:c98m`4=83.9=54kc:l152<d32cn=7>5$33;>ae<f;;<6i54id294?"5910oo6`=168f?>ocn3:1(??7:ea8j7702o10eik50;&15=<ck2d9=:4>0:9j`1<72-8:47jl;o023?7632e9==4?:%02<?47n2d9=:4?;:m14`<72-8:47<?f:l152<632e9<i4?:%02<?47n2d9=:4=;:m14f<72-8:47<?f:l152<432e9<o4?:%02<?47n2d9=:4;;:m14d<72-8:47<?f:l152<232e9<44?:%02<?47n2d9=:49;:m14=<72-8:47<?f:l152<032e9<;4?:%02<?47n2d9=:47;:m140<72-8:47<?f:l152<>32e9<94?:%02<?47n2d9=:4n;:m146<72-8:47<?f:l152<e32e9<?4?:%02<?47n2d9=:4l;:m144<72-8:47<?f:l152<c32e9<=4?:%02<?47n2d9=:4j;:m2bc<72-8:47<?f:l152<a32e:jh4?:%02<?47n2d9=:4>0:9l5cb=83.9=54=0g9m641=9810c<hm:18'64>=:9l0b??8:008?j7ai3:1(??7:32e?k46?3;876a>f883>!46038;j6`=16820>=h9o21<7*=19814c=i:8=1=854o0d4>5<#:821>=h4n334>40<3f;m:7>5$33;>76a3g8:;7?8;:m2b0<72-8:47<?f:l152<6021d=k:50;&15=<58o1e><951898k4`4290/><6521d8j77028k07b?i2;29 77?2;:m7c<>7;3a?>i6n90;6)<>8;03b>h59>0:o65`1dd94?"59109<k5a20595a=<g8on6=4+20:965`<f;;<6<k4;n3f`?6=,;;36?>i;o023?7a32e:in4?:%02<?47n2d9=:4=0:9l5`d=83.9=54=0g9m641=:810c<kn:18'64>=:9l0b??8:308?j7b13:1(??7:32e?k46?38876a>e983>!46038;j6`=16810>=h9l=1<7*=19814c=i:8=1>854o335>5<#:821>=h4n334>70<3f8:97>5$33;>76a3g8:;7<8;:m151<72-8:47<?f:l152<5021d><=50;&15=<58o1e><952898k775290/><6521d8j7702;k07b<>1;29 77?2;:m7c<>7;0a?>i58>0;6)<>8;03b>h59>09o65`1ga94?"59109<k5a20596a=<g8l:6=4+20:965`<f;;<6?k4;n3f2?6=,;;36?>i;o023?4a32c9n7>5$33;>7g<f;;<6=54i3;94?"59109m6`=1682?>o503:1(??7:3c8j7702;10e?950;&15=<5i2d9=:4<;:k12?6=,;;36?o4n334>1=<a;?1<7*=1981e>h59>0>76g=4;29 77?2;k0b??8:798m75=83.9=54=a:l152<032c847>5$33;>7g<f;;<6554i2594?"59109m6`=168:?>o4>3:1(??7:3c8j7702h10e>;50;&15=<5i2d9=:4m;:k00?6=,;;36?o4n334>f=<a:91<7*=1981e>h59>0o76g<2;29 77?2;k0b??8:d98m67=83.9=54=a:l152<a32c9i7>5$33;>7g<f;;<6<>4;h01>5<#:821>l5a205954=<g8<>6=4+20:9532<f;;<6=54o040>5<#:821=;:4n334>4=<g8<96=4+20:9532<f;;<6?54o042>5<#:821=;:4n334>6=<g8<;6=4+20:9532<f;;<6954o07e>5<#:821=;:4n334>0=<g8?o6=4+20:9532<f;;<6;54o07`>5<#:821=;:4n334>2=<g8?i6=4+20:9532<f;;<6554o07b>5<#:821=;:4n334><=<g8?26=4+20:9532<f;;<6l54o07;>5<#:821=;:4n334>g=<g8?<6=4+20:9532<f;;<6n54o075>5<#:821=;:4n334>a=<g8?>6=4+20:9532<f;;<6h54o077>5<#:821=;:4n334>c=<g8?96=4+20:9532<f;;<6<>4;n365?6=,;;36<8;;o023?7632e:9=4?:%02<?71<2d9=:4>2:9l51`=83.9=54>659m641=9:10c<:j:18'64>=9?>0b??8:068?j73l3:1(??7:047?k46?3;>76a>4b83>!4603;=86`=16822>=h9=h1<7*=198221=i:8=1=:54o06b>5<#:821=;:4n334>4><3f;?57>5$33;>4033g8:;7?6;:m22f<72-8:47?94:l152<6i21d=;l50;&15=<6>=1e><951c98k40f290/><651768j77028i07b?99;29 77?28<?7c<>7;3g?>i6>10;6)<>8;350>h59>0:i65`17594?"5910::95a20595c=<g8<=6=4+20:9532<f;;<6?>4;n36a?6=,;;36<8;;o023?4632e:9>4?:%02<?71<2d9=:4=2:9l51>=83.9=54>659m641=::10e8l50;&15=<2i2d9=:4?;I05f>=n=00;6)<>8;7b?k46?3;0D?8m;:k6<?6=,;;368o4n334>7=O:?h07d;8:18'64>==h1e><953:J12g=<a<<1<7*=1986e>h59>0?7E<9b:9j10<72-8:47;n;o023?3<@;<i76g:4;29 77?2<k0b??8:79K63d<3`?86=4+20:91d=i:8=1;6F=6c98m32=83.9=54:a:l152<?3A8=n65f6283>!4603?j7c<>7;;8L70e32c=>7>5$33;>0g<f;;<6l5G27`8?l06290/><655`9m641=j2B9:o54i7294?"5910>m6`=168`?M41j21b9k4?:%02<?3f3g8:;7j4H34a?>o2m3:1(??7:4c8j7702l1C>;l4;h7g>5<#:8219l5a2059b>N5>k10e8m50;&15=<2i2d9=:4>0:J12g=<a<81<7*=1986e>h59>0:=6F=6c98yg4e83:1=8o50;2x 717289i7E<86:J134=]?10iw<k5a;3;>40=?3<1=84>7;:91?732t.:;k4<cd9m65<73g8:6=5a3883?k5f291emi4?;%cf>4133gn96=5a12c94>h6>m0;7c?8e;28j77>291/>?;527d8jg7=82ci:7>5;h02b?6=3`89<7>5;h`4>5<<a;8:6=44ic;94?=nj<0;66am0;29?l45;3:17d<=2;29?ldc2900e??j:188mg>=831bn94?::kbg?6=3`hj6=44ic194?=hio0;66g=1e83>>o5:=0;66g;4;29 77?2=90b??8:198m14=83.9=54;3:l152<632c?=7>5$33;>15<f;;<6?54i5294?"5910??6`=1680?>o4n3:1(??7:518j7702=10e>k50;&15=<3;2d9=:4:;:k0`?6=,;;369=4n334>3=<a:i1<7*=19877>h59>0<76g:1;29 77?2=90b??8:998m06=83.9=54;3:l152<>32c?j7>5$33;>15<f;;<6l54i5g94?"5910??6`=168a?>o3l3:1(??7:518j7702j10e9m50;&15=<3;2d9=:4k;:k7f?6=,;;369=4n334>`=<a=k1<7*=19877>h59>0m76g;7;29 77?2=90b??8:028?l5e290/><65429m641=9810c;k50;&15=<1l2d9=:4?;:m5g?6=,;;36;j4n334>4=<g?h1<7*=1985`>h59>0976a9a;29 77?2?n0b??8:298k3?=83.9=549d:l152<332e=47>5$33;>3b<f;;<6854o7594?"5910=h6`=1685?>i1>3:1(??7:7f8j7702>10c:950;&15=<1l2d9=:47;:m42?6=,;;36;j4n334><=<g>?1<7*=1985`>h59>0j76a84;29 77?2?n0b??8:c98k25=83.9=549d:l152<d32e<>7>5$33;>3b<f;;<6i54o6394?"5910=h6`=168f?>i083:1(??7:7f8j7702o10c;h50;&15=<1l2d9=:4>0:9l20<72-8:478k;o023?7632c:hk4?:%02<?7cm2d9=:4?;:k2`a<72-8:47?ke:l152<632c:hn4?:%02<?7cm2d9=:4=;:k2`g<72-8:47?ke:l152<432c:hl4?:%02<?7cm2d9=:4;;:k2`<<72-8:47?ke:l152<232c:h54?:%02<?7cm2d9=:49;:k2`2<72-8:47?ke:l152<032c:h84?:%02<?7cm2d9=:47;:k2`1<72-8:47?ke:l152<>32c:h>4?:%02<?7cm2d9=:4n;:k2`7<72-8:47?ke:l152<e32c:h<4?:%02<?7cm2d9=:4l;:k2`5<72-8:47?ke:l152<c32c:ok4?:%02<?7cm2d9=:4j;:k2g`<72-8:47?ke:l152<a32c:oi4?:%02<?7cm2d9=:4>0:9j5fe=83.9=54>dd9m641=9810e<mn:18'64>=9mo0b??8:008?l7d13:1(??7:0ff?k46?3;876g>c983>!4603;oi6`=16820>=n9j=1<7*=1982``=i:8=1=854i0a5>5<#:821=ik4n334>40<3`;h97>5$33;>4bb3g8:;7?8;:k2g1<72-8:47?ke:l152<6021b=n=50;&15=<6ll1e><951898m4e5290/><651eg8j77028k07d?l1;29 77?28nn7c<>7;3a?>o6jo0;6)<>8;3ga>h59>0:o65f1cg94?"5910:hh5a20595a=<a8ho6=4+20:95ac<f;;<6<k4;h3ag?6=,;;36<jj;o023?7a32c:no4?:%02<?7cm2d9=:4=0:9j5gg=83.9=54>dd9m641=:810e<l6:18'64>=9mo0b??8:308?l7e03:1(??7:0ff?k46?38876g>b683>!4603;oi6`=16810>=n9k<1<7*=1982``=i:8=1>854i0g6>5<#:821=ik4n334>70<3`;n87>5$33;>4bb3g8:;7<8;:k2a6<72-8:47?ke:l152<5021b=h<50;&15=<6ll1e><952898m4c6290/><651eg8j7702;k07d?j0;29 77?28nn7c<>7;0a?>o6l?0;6)<>8;3ga>h59>09o65f1b`94?"5910:hh5a20596a=<a8i;6=4+20:95ac<f;;<6?k4;h3a1?6=,;;36<jj;o023?4a32cj97>5$33;>d2<f;;<6=54i`194?"5910j86`=1682?>of:3:1(??7:`68j7702;10el?50;&15=<f<2d9=:4<;:kb4?6=,;;36l:4n334>1=<a0l1<7*=198b0>h59>0>76g6e;29 77?2h>0b??8:798m<b=83.9=54n4:l152<032c2n7>5$33;>d2<f;;<6554i8c94?"5910j86`=168:?>o>13:1(??7:`68j7702h10e4650;&15=<f<2d9=:4m;:k:3?6=,;;36l:4n334>f=<a0<1<7*=198b0>h59>0o76g65;29 77?2h>0b??8:d98m<2=83.9=54n4:l152<a32c2?7>5$33;>d2<f;;<6<>4;h;1>5<#:821m95a205954=<a0:1<7*=198b0>h59>0:>65f8g83>!4603k?7c<>7;30?>o?m3:1(??7:`68j77028>07d6k:18'64>=i=1e><951498m=e=83.9=54n4:l152<6>21b4o4?:%02<?g33g8:;7?8;:k;e?6=,;;36l:4n334>4><3`226=4+20:9e1=i:8=1=454i9:94?"5910j86`=1682e>=n0>0;6)<>8;c7?k46?3;i76g75;29 77?2h>0b??8:0a8?l>3290/><65a59m641=9m10e5=50;&15=<f<2d9=:4>e:9j<7<72-8:47o;;o023?7a32c3=7>5$33;>d2<f;;<6?>4;h:3>5<#:821m95a205964=<a>l1<7*=198b0>h59>09>65f7d83>!4603k?7c<>7;00?>o0l3:1(??7:`68j7702;>07d9l:18'64>=i=1e><952498mdd=83.9=54n4:l152<5>21bml4?:%02<?g33g8:;7<8;:kb=?6=,;;36l:4n334>7><3`k36=4+20:9e1=i:8=1>454i`594?"5910j86`=1681e>=ni?0;6)<>8;c7?k46?38i76g6c;29 77?2h>0b??8:3a8?l?6290/><65a59m641=:m10e5850;&15=<f<2d9=:4=e:9j3g<72-8:47o;;o023?4a32e:mi4?:%02<?7fk2d9=:4?;:m2eg<72-8:47?nc:l152<632e:ml4?:%02<?7fk2d9=:4=;:m2e<<72-8:47?nc:l152<432e:m54?:%02<?7fk2d9=:4;;:m2e2<72-8:47?nc:l152<232e:m;4?:%02<?7fk2d9=:49;:m2e0<72-8:47?nc:l152<032e:m>4?:%02<?7fk2d9=:47;:m2e7<72-8:47?nc:l152<>32e:m<4?:%02<?7fk2d9=:4n;:m2e5<72-8:47?nc:l152<e32e:5k4?:%02<?7fk2d9=:4l;:m2=`<72-8:47?nc:l152<c32e:5i4?:%02<?7fk2d9=:4j;:m2=f<72-8:47?nc:l152<a32e:5o4?:%02<?7fk2d9=:4>0:9l5<g=83.9=54>ab9m641=9810c<77:18'64>=9hi0b??8:008?j7>?3:1(??7:0c`?k46?3;876a>9783>!4603;jo6`=16820>=h90?1<7*=1982ef=i:8=1=854o0;7>5<#:821=lm4n334>40<3f;2?7>5$33;>4gd3g8:;7?8;:m2=7<72-8:47?nc:l152<6021d=4?50;&15=<6ij1e><951898k4?7290/><651`a8j77028k07b?7f;29 77?28kh7c<>7;3a?>i60m0;6)<>8;3bg>h59>0:o65`19a94?"5910:mn5a20595a=<g82i6=4+20:95de<f;;<6<k4;n3;e?6=,;;36<ol;o023?7a32e:444?:%02<?7fk2d9=:4=0:9l5=>=83.9=54>ab9m641=:810c<68:18'64>=9hi0b??8:308?j7?>3:1(??7:0c`?k46?38876a>8483>!4603;jo6`=16810>=h91>1<7*=1982ef=i:8=1>854o0`0>5<#:821=lm4n334>70<3f;i>7>5$33;>4gd3g8:;7<8;:m2f4<72-8:47?nc:l152<5021d=o>50;&15=<6ij1e><952898k4ga290/><651`a8j7702;k07b?ne;29 77?28kh7c<>7;0a?>i6i=0;6)<>8;3bg>h59>09o65`18;94?"5910:mn5a20596a=<g82n6=4+20:95de<f;;<6?k4;n3;7?6=,;;36<ol;o023?4a32c:;i4?:%02<?70k2d9=:4?;:k23g<72-8:47?8c:l152<632c:;l4?:%02<?70k2d9=:4=;:k23<<72-8:47?8c:l152<432c:;54?:%02<?70k2d9=:4;;:k232<72-8:47?8c:l152<232c:;;4?:%02<?70k2d9=:49;:k230<72-8:47?8c:l152<032coh7>5$33;>ae<f;;<6=54ie`94?"5910oo6`=1682?>oci3:1(??7:ea8j7702;10ei750;&15=<ck2d9=:4<;:kg<?6=,;;36im4n334>1=<am=1<7*=198gg>h59>0>76gk6;29 77?2mi0b??8:798ma3=83.9=54kc:l152<032cn:7>5$33;>ae<f;;<6554id794?"5910oo6`=168:?>ob<3:1(??7:ea8j7702h10eh=50;&15=<ck2d9=:4m;:kf6?6=,;;36im4n334>f=<al;1<7*=198gg>h59>0o76gj0;29 77?2mi0b??8:d98ma`=83.9=54kc:l152<a32coi7>5$33;>ae<f;;<6<>4;hf7>5<#:821hn5a205954=<g;;;6=4+20:965`<f;;<6=54o32f>5<#:821>=h4n334>4=<g;:o6=4+20:965`<f;;<6?54o32`>5<#:821>=h4n334>6=<g;:i6=4+20:965`<f;;<6954o32b>5<#:821>=h4n334>0=<g;:26=4+20:965`<f;;<6;54o32;>5<#:821>=h4n334>2=<g;:=6=4+20:965`<f;;<6554o326>5<#:821>=h4n334><=<g;:?6=4+20:965`<f;;<6l54o320>5<#:821>=h4n334>g=<g;:96=4+20:965`<f;;<6n54o322>5<#:821>=h4n334>a=<g;:;6=4+20:965`<f;;<6h54o0de>5<#:821>=h4n334>c=<g8ln6=4+20:965`<f;;<6<>4;n3e`?6=,;;36?>i;o023?7632e:jo4?:%02<?47n2d9=:4>2:9l5cg=83.9=54=0g9m641=9:10c<h6:18'64>=:9l0b??8:068?j7a03:1(??7:32e?k46?3;>76a>f683>!46038;j6`=16822>=h9o<1<7*=19814c=i:8=1=:54o0d6>5<#:821>=h4n334>4><3f;m87>5$33;>76a3g8:;7?6;:m2b6<72-8:47<?f:l152<6i21d=k<50;&15=<58o1e><951c98k4`7290/><6521d8j77028i07b?jf;29 77?2;:m7c<>7;3g?>i6ml0;6)<>8;03b>h59>0:i65`1df94?"59109<k5a20595c=<g8oh6=4+20:965`<f;;<6?>4;n3ff?6=,;;36?>i;o023?4632e:il4?:%02<?47n2d9=:4=2:9l5`?=83.9=54=0g9m641=::10c<k7:18'64>=:9l0b??8:368?j7b?3:1(??7:32e?k46?38>76a=1783>!46038;j6`=16812>=h:8?1<7*=19814c=i:8=1>:54o337>5<#:821>=h4n334>7><3f8:?7>5$33;>76a3g8:;7<6;:m157<72-8:47<?f:l152<5i21d><?50;&15=<58o1e><952c98k760290/><6521d8j7702;i07b?ic;29 77?2;:m7c<>7;0g?>i6n80;6)<>8;03b>h59>09i65`1d494?"59109<k5a20596c=<a;h1<7*=1981e>h59>0;76g=9;29 77?2;k0b??8:098m7>=83.9=54=a:l152<532c9;7>5$33;>7g<f;;<6>54i3494?"59109m6`=1687?>o5=3:1(??7:3c8j7702<10e?:50;&15=<5i2d9=:49;:k17?6=,;;36?o4n334>2=<a:21<7*=1981e>h59>0376g<7;29 77?2;k0b??8:898m60=83.9=54=a:l152<f32c897>5$33;>7g<f;;<6o54i2694?"59109m6`=168`?>o4;3:1(??7:3c8j7702m10e><50;&15=<5i2d9=:4j;:k05?6=,;;36?o4n334>c=<a;o1<7*=1981e>h59>0:<65f2383>!46038j7c<>7;32?>i6><0;6)<>8;350>h59>0;76a>6283>!4603;=86`=1682?>i6>;0;6)<>8;350>h59>0976a>6083>!4603;=86`=1680?>i6>90;6)<>8;350>h59>0?76a>5g83>!4603;=86`=1686?>i6=m0;6)<>8;350>h59>0=76a>5b83>!4603;=86`=1684?>i6=k0;6)<>8;350>h59>0376a>5`83>!4603;=86`=168:?>i6=00;6)<>8;350>h59>0j76a>5983>!4603;=86`=168a?>i6=>0;6)<>8;350>h59>0h76a>5783>!4603;=86`=168g?>i6=<0;6)<>8;350>h59>0n76a>5583>!4603;=86`=168e?>i6=;0;6)<>8;350>h59>0:<65`14394?"5910::95a205954=<g8?;6=4+20:9532<f;;<6<<4;n37b?6=,;;36<8;;o023?7432e:8h4?:%02<?71<2d9=:4>4:9l51b=83.9=54>659m641=9<10c<:l:18'64>=9?>0b??8:048?j73j3:1(??7:047?k46?3;<76a>4`83>!4603;=86`=1682<>=h9=31<7*=198221=i:8=1=454o04`>5<#:821=;:4n334>4g<3f;=n7>5$33;>4033g8:;7?m;:m22d<72-8:47?94:l152<6k21d=;750;&15=<6>=1e><951e98k40?290/><651768j77028o07b?97;29 77?28<?7c<>7;3e?>i6>?0;6)<>8;350>h59>09<65`14g94?"5910::95a205964=<g8?86=4+20:9532<f;;<6?<4;n37<?6=,;;36<8;;o023?4432c>n7>5$33;>0g<f;;<6=5G27`8?l3>290/><655`9m641=92B9:o54i4:94?"5910>m6`=1681?M41j21b9:4?:%02<?3f3g8:;7=4H34a?>o2>3:1(??7:4c8j7702=1C>;l4;h76>5<#:8219l5a20591>N5>k10e8:50;&15=<2i2d9=:49;I05f>=n=:0;6)<>8;7b?k46?3=0D?8m;:k50?6=,;;368o4n334>==O:?h07d8<:18'64>==h1e><959:J12g=<a?81<7*=1986e>h59>0j7E<9b:9j24<72-8:47;n;o023?d<@;<i76g90;29 77?2<k0b??8:b9K63d<3`?m6=4+20:91d=i:8=1h6F=6c98m0c=83.9=54:a:l152<b3A8=n65f5e83>!4603?j7c<>7;d8L70e32c>o7>5$33;>0g<f;;<6<>4H34a?>o2:3:1(??7:4c8j77028;0D?8m;:a6g7=838<6=4>2zJ134=#:>:1?n?4Z6:96~?=j3wbj>4?:%02<?`53g8:;7>4;hd2>5<#:821j?5a20595>=nmo0;6)<>8;d1?k46?3807dkj:18'64>=n;1e><953:9jaa<72-8:47h=;o023?2<3`oh6=4+20:9b7=i:8=1965fec83>!4603l97c<>7;48?lcf290/><65f39m641=?21b=<>50;&15=<a:2d9=:47;:k24c<72-8:47h=;o023??<3`;;i7>5$33;>c4<f;;<6l54i02g>5<#:821j?5a2059f>=n99i1<7*=198e6>h59>0h76g>0c83>!4603l97c<>7;f8?l77i3:1(??7:g08j7702l10e<>>:18'64>=n;1e><95f:9jb3<72-8:47h=;o023?7732cn57>5$33;>c4<f;;<6<?4;h`g>5<<ak81<75f27:94?=h98i1<7*=19825g=i:8=1<65`10c94?"5910:=o5a20595>=h9821<7*=19825g=i:8=1>65`10594?"5910:=o5a20597>=h98<1<7*=19825g=i:8=1865`10794?"5910:=o5a20591>=h98>1<7*=19825g=i:8=1:65`10194?"5910:=o5a20593>=h9:31<7*=19825g=i:8=1465`12:94?"5910:=o5a2059=>=h9:=1<7*=19825g=i:8=1m65`12494?"5910:=o5a2059f>=h9:?1<7*=19825g=i:8=1o65`12694?"5910:=o5a2059`>=h9:91<7*=19825g=i:8=1i65`13c94?"5910:=o5a2059b>=h98l1<7*=19825g=i:8=1==54o031>5<#:821=<l4n334>47<3z<m47>52z\e7>X69j1v;h6:181[`63W;:m6s|6gc94?4|Vll0R<?7;|q5bg<72;qUih5Q1058yv0ak3:1>vPjd:\253=z{?lo6=4={_g`?[76=2wx:kk50;0xZ`d<V8;?7p}9fg83>7}Ymh1U=<=4}r534?6=:rT:==5Q12;8yv1793:1>vP>0g9]56><uz=;>7>52z\24`=Y9:=0q~9?3;296~X68m1U=>84}r530?6=:rT:<n5Q1278yv17=3:1>vP>0c9]562<uz=;:7>52z\24d=Y9:90q~9?7;296~X6881U=?o4}r53<?6=:rTm:6P>1g9~w26>2909wSk6;_326>{zj;h96=4=7;2957}O:>;0(?9?:2a2?_1?2;q26o4rig194?"5910m>6`=1683?>oa93:1(??7:g08j7702810ehh50;&15=<a:2d9=:4=;:kfa?6=,;;36k<4n334>6=<aln1<7*=198e6>h59>0?76gjc;29 77?2o80b??8:498m`d=83.9=54i2:l152<132cnm7>5$33;>c4<f;;<6:54i033>5<#:821j?5a2059<>=n99l1<7*=198e6>h59>0276g>0d83>!4603l97c<>7;c8?l77l3:1(??7:g08j7702k10e<>l:18'64>=n;1e><95c:9j55d=83.9=54i2:l152<c32c:<l4?:%02<?`53g8:;7k4;h335?6=,;;36k<4n334>c=<ao<1<7*=198e6>h59>0:<65fe883>!4603l97c<>7;32?>oel3:17dl=:188m70?2900c<?l:18'64>=98h0b??8:198k47f290/><6510`8j7702810c<?7:18'64>=98h0b??8:398k470290/><6510`8j7702:10c<?9:18'64>=98h0b??8:598k472290/><6510`8j7702<10c<?;:18'64>=98h0b??8:798k474290/><6510`8j7702>10c<=6:18'64>=98h0b??8:998k45?290/><6510`8j7702010c<=8:18'64>=98h0b??8:`98k451290/><6510`8j7702k10c<=::18'64>=98h0b??8:b98k453290/><6510`8j7702m10c<=<:18'64>=98h0b??8:d98k44f290/><6510`8j7702o10c<?i:18'64>=98h0b??8:028?j76:3:1(??7:03a?k46?3;:76}80`83>7}Yn:1U=<m4}r53f?6=:rTm=6P>1`9~w26d2909wSki;_32<>{t?9n1<7<t^dg8Z4703ty<<h4?:3y]aa=Y98<0q~9?f;296~Xbk2T:=85rs633>5<5sWoi7S?>4:p347=838pRho4^030?xu09;0;6?uQ1028Z45>3ty<=>4?:3y]55`<V8937p}81583>7}Y99o0R<=8;|q450<72;qU==j4^015?xu09?0;6?uQ11a8Z4523ty<=:4?:3y]55d<V89?7p}81983>7}Y99k0R<=<;|q45<<72;qU==?4^00b?xu09h0;6?uQf79]54`<uz=:n7>52z\f=>X69;1vqo<m3;2962<7288pD?9>;%044?5d92P<47<t9;`9yl`4290/><65f39m641=821bj<4?:%02<?`53g8:;7?4;hge>5<#:821j?5a20596>=nml0;6)<>8;d1?k46?3907dkk:18'64>=n;1e><954:9jaf<72-8:47h=;o023?3<3`oi6=4+20:9b7=i:8=1:65fe`83>!4603l97c<>7;58?l7683:1(??7:g08j7702110e<>i:18'64>=n;1e><959:9j55c=83.9=54i2:l152<f32c:<i4?:%02<?`53g8:;7l4;h33g?6=,;;36k<4n334>f=<a8:i6=4+20:9b7=i:8=1h65f11c94?"5910m>6`=168f?>o6880;6)<>8;d1?k46?3l07dh9:18'64>=n;1e><951198m`?=83.9=54i2:l152<6921bni4?::ka6?6=3`8=47>5;n32g?6=,;;36<?m;o023?6<3f;:m7>5$33;>47e3g8:;7?4;n32<?6=,;;36<?m;o023?4<3f;:;7>5$33;>47e3g8:;7=4;n322?6=,;;36<?m;o023?2<3f;:97>5$33;>47e3g8:;7;4;n320?6=,;;36<?m;o023?0<3f;:?7>5$33;>47e3g8:;794;n30=?6=,;;36<?m;o023?><3f;847>5$33;>47e3g8:;774;n303?6=,;;36<?m;o023?g<3f;8:7>5$33;>47e3g8:;7l4;n301?6=,;;36<?m;o023?e<3f;887>5$33;>47e3g8:;7j4;n307?6=,;;36<?m;o023?c<3f;9m7>5$33;>47e3g8:;7h4;n32b?6=,;;36<?m;o023?7732e:=?4?:%02<?76j2d9=:4>1:9p34e=838pRk=4^03`?xu09m0;6?uQf09]54g<uz=:i7>52z\fb>X6911v:?i:181[cb3W;:;6s|73294?4|Vln0R<?9;|q464<72;qUin5Q1078yv15:3:1>vPjb:\251=z{>886=4={_gb?[76;2wx;?:50;0xZ4773W;856s|73794?4|V8:m7S?<8:p370=838pR<>j;_303>{t?;=1<7<t^02g?[74>2wx;?650;0xZ46d3W;896s|73;94?4|V8:i7S?<4:p37g=838pR<>n;_307>{t?;h1<7<t^022?[75i2wx;?m50;0xZc0<V8;m7p}82e83>7}Ym01U=<<4}|`1f1<72;=1<7?={I045>"5?908o<5U7981<<e2tcm?7>5$33;>c4<f;;<6=54ig394?"5910m>6`=1682?>obn3:1(??7:g08j7702;10ehk50;&15=<a:2d9=:4<;:kf`?6=,;;36k<4n334>1=<ali1<7*=198e6>h59>0>76gjb;29 77?2o80b??8:798m`g=83.9=54i2:l152<032c:==4?:%02<?`53g8:;764;h33b?6=,;;36k<4n334><=<a8:n6=4+20:9b7=i:8=1m65f11f94?"5910m>6`=168a?>o68j0;6)<>8;d1?k46?3i07d??b;29 77?2o80b??8:e98m46f290/><65f39m641=m21b==?50;&15=<a:2d9=:4i;:ke2?6=,;;36k<4n334>46<3`o26=4+20:9b7=i:8=1=<54icf94?=nj;0;66g=6983>>i69j0;6)<>8;32f>h59>0;76a>1`83>!4603;:n6`=1682?>i6910;6)<>8;32f>h59>0976a>1683>!4603;:n6`=1680?>i69?0;6)<>8;32f>h59>0?76a>1483>!4603;:n6`=1686?>i69=0;6)<>8;32f>h59>0=76a>1283>!4603;:n6`=1684?>i6;00;6)<>8;32f>h59>0376a>3983>!4603;:n6`=168:?>i6;>0;6)<>8;32f>h59>0j76a>3783>!4603;:n6`=168a?>i6;<0;6)<>8;32f>h59>0h76a>3583>!4603;:n6`=168g?>i6;:0;6)<>8;32f>h59>0n76a>2`83>!4603;:n6`=168e?>i69o0;6)<>8;32f>h59>0:<65`10094?"5910:=o5a205954=<{>8n6=4={_d0?[76k2wx;?h50;0xZc7<V8;j7p}83183>7}Ymo1U=<64}r505?6=:rTni6P>169~w2552909wSkk;_322>{t?:91<7<t^da8Z4723ty<?94?:3y]ag=Y98>0q~9<5;296~Xbi2T:=>5rs615>5<5sW;:<6P>389~w2502909wS??f:\27==z{>936=4={_33a>X6;>1v:=6:181[77l2T:?;5rs61b>5<5sW;;o6P>349~w25e2909wS??b:\271=z{>9h6=4={_33e>X6;:1v:=k:181[7792T:>l5rs61f>5<5sWl=7S?>f:p36`=838pRh74^031?x{e:k?1<7l50;6xL7163-8<<7=l2:X4<?4|900:m7sf11c94?"5910:<45a20594>=n99;1<7*=19824<=i:8=1=65ff783>!4603;;56`=1681?>ob13:1(??7:02:?k46?3907dlk:188mg4=831b>;650;9l565=83.9=54>339m641=821d=?o50;&15=<6;;1e><951:9l54`=83.9=54>339m641=:21d=<<50;&15=<6;;1e><953:9p316=838pR<>n;_307>{t?=;1<7<t^022?[75i2wx;9<50;0xZc0<V8;m7p}84283>7}Ym01U=<<4}|`1f3<72k0;69uG2638 7172:i97W97:3y2=?7f2tc:<l4?:%02<?7712d9=:4?;:k244<72-8:47??9:l152<632cm:7>5$33;>46>3g8:;7<4;hg:>5<#:821==74n334>6=<akn1<75fb383>>o5>10;66a>3283>!4603;8>6`=1683?>i6:h0;6)<>8;306>h59>0:76a>1g83>!4603;8>6`=1681?>i69;0;6)<>8;306>h59>0876}84583>7}Y99k0R<=<;|q400<72;qU==?4^00b?xu0<?0;6?uQf79]54`<uz=?;7>52z\f=>X69;1vqo<m7;291?6=9rB9;<5+26297f5<R>21>vm5d;ja<<72-8:47k7;o023?6<3`ho6=44ic094?=n:?21<75`10094?"5910:=<5a20594>=t?=21<7<t^d;8Z4753twi>o650;794?7|@;=:7)<80;1`7>\0038po7j5}hg:>5<#:821i55a20594>=njm0;66gm2;29?l4103:17b?>2;29 77?28;:7c<>7;28?v1313:1>vPj9:\257=zuk8i57>55;295~N5?81/>:>53b18^2>=:ri1h7sfe883>!4603o37c<>7;28?ldc2900eo<50;9j63>=831d=<<50;&15=<6981e><950:9p31g=838pRh74^031?x{e:kk1<7<::1825~N5?81/>:>53b68^2>=:r9187sff083>!4603l;7c<>7;28?lca290/><65f19m641=921bih4?:%02<?`73g8:;7<4;hgg>5<#:821j=5a20597>=nmj0;6)<>8;d3?k46?3>07dkm:18'64>=n91e><955:9jad<72-8:47h?;o023?0<3`;:<7>5$33;>c6<f;;<6:54i02e>5<#:821j=5a2059<>=n99o1<7*=198e4>h59>0276g>0e83>!4603l;7c<>7;c8?l77k3:1(??7:g28j7702k10e<>m:18'64>=n91e><95c:9j55g=83.9=54i0:l152<c32c:<<4?:%02<?`73g8:;7k4;hd5>5<#:821j=5a2059b>=nm00;6)<>8;d3?k46?3;;76gmd;29?ld52900e?87:188k47f290/><6510;8j7702910c<?7:18'64>=9830b??8:098k470290/><6510;8j7702;10c<?9:18'64>=9830b??8:298k472290/><6510;8j7702=10c<?;:18'64>=9830b??8:498k474290/><6510;8j7702?10c<=6:18'64>=9830b??8:698k45?290/><6510;8j7702110c<=8:18'64>=9830b??8:898k451290/><6510;8j7702h10c<=::18'64>=9830b??8:c98k453290/><6510;8j7702j10c<=<:18'64>=9830b??8:e98k44f290/><6510;8j7702l10c<?i:18'64>=9830b??8:g98k475290/><6510;8j77028:07~9;b;296~Xa92T:=l5rs66`>5<5sWom7S?>8:p31b=838pRhk4^034?xu0<l0;6?uQee9]540<uz=?j7>52z\fg>X69<1v:;?:181[ce3W;:86s|74394?4|Vlk0R<?<;|q417<72;qU=<>4^01:?xu0=:0;6?uQ11d8Z45?3ty<994?:3y]55c<V89<7p}85483>7}Y99n0R<=9;|q413<72;qU==m4^016?xu0=>0;6?uQ11`8Z4533ty<954?:3y]55g<V8987p}85883>7}Y99;0R<<n;|q41d<72;qUj;5Q10d8yv12j3:1>vPj9:\257=zuk8in7>52483>47|@;=:7)<80;1`0>\0038p?7:5}hd2>5<#:821j=5a20594>=nmo0;6)<>8;d3?k46?3;07dkj:18'64>=n91e><952:9jaa<72-8:47h?;o023?5<3`oh6=4+20:9b5=i:8=1865fec83>!4603l;7c<>7;78?lcf290/><65f19m641=>21b=<>50;&15=<a82d9=:48;:k24c<72-8:47h?;o023?><3`;;i7>5$33;>c6<f;;<6454i02g>5<#:821j=5a2059e>=n99i1<7*=198e4>h59>0i76g>0c83>!4603l;7c<>7;a8?l77i3:1(??7:g28j7702m10e<>>:18'64>=n91e><95e:9jb3<72-8:47h?;o023?`<3`o26=4+20:9b5=i:8=1==54icf94?=nj;0;66g=6983>>i69h0;6)<>8;32=>h59>0;76a>1983>!4603;:56`=1682?>i69>0;6)<>8;32=>h59>0976a>1783>!4603;:56`=1680?>i69<0;6)<>8;32=>h59>0?76a>1583>!4603;:56`=1686?>i69:0;6)<>8;32=>h59>0=76a>3883>!4603;:56`=1684?>i6;10;6)<>8;32=>h59>0376a>3683>!4603;:56`=168:?>i6;?0;6)<>8;32=>h59>0j76a>3483>!4603;:56`=168a?>i6;=0;6)<>8;32=>h59>0h76a>3283>!4603;:56`=168g?>i6:h0;6)<>8;32=>h59>0n76a>1g83>!4603;:56`=168e?>i69;0;6)<>8;32=>h59>0:<65|74a94?4|Vo;0R<?n;|q41a<72;qUik5Q10:8yv12m3:1>vPje:\252=z{>?m6=4={_gg?[76>2wx;;>50;0xZ`e<V8;>7p}86083>7}Ymk1U=<:4}r556?6=:rTnm6P>129~w2042909wS?>0:\27<=z{><?6=4={_33b>X6;11v:8::181[77m2T:?:5rs645>5<5sW;;h6P>379~w2002909wS??c:\270=z{><36=4={_33f>X6;=1v:86:181[77i2T:?>5rs64b>5<5sW;;=6P>2`9~w20e2909wSh9;_32b>{t??i1<7<t^d;8Z4753twi>om50;6a>5<5<rB9;<5+26297f3<R>21>v?=:019yl7703:1(??7:024?k46?3:07d??6;29 77?28:<7c<>7;38?l77=3:1(??7:024?k46?3807d??4;29 77?28:<7c<>7;18?l77;3:1(??7:024?k46?3>07d??2;29 77?28:<7c<>7;78?l7783:1(??7:024?k46?3<07dhi:18'64>=99=0b??8:698mcc=83.9=54>069m641=021bji4?:%02<?77?2d9=:46;:keg?6=,;;36<>8;o023?g<3`li6=4+20:9551<f;;<6o54igc94?"5910:<:5a2059g>=nn00;6)<>8;333>h59>0o76gi8;29 77?28:<7c<>7;g8?l`0290/><651158j7702o10ek;50;&15=<68>1e><951198mc2=83.9=54>069m641=9810ek=50;&15=<68>1e><951398mc7=83.9=54>069m641=9:10ehh50;&15=<68>1e><951598m`c=83.9=54>069m641=9<10ehj50;&15=<68>1e><951798m`e=83.9=54>069m641=9>10ehl50;&15=<68>1e><951998m`g=83.9=54>069m641=9010e<??:18'64>=99=0b??8:0c8?l77n3:1(??7:024?k46?3;i76g>0d83>!4603;;;6`=1682g>=n99n1<7*=198242=i:8=1=i54i02`>5<#:821==94n334>4c<3`;;n7>5$33;>4603g8:;7?i;:k24d<72-8:47??7:l152<5821b==?50;&15=<68>1e><952098mc0=83.9=54>069m641=:;10eh750;&15=<68>1e><952298mgb=831bn?4?::k12=<722e:?<4?:%02<?7482d9=:4?;:m26c<72-8:47?<0:l152<632e:>h4?:%02<?7482d9=:4=;:m26a<72-8:47?<0:l152<432e:>n4?:%02<?7482d9=:4;;:m26g<72-8:47?<0:l152<232e:>44?:%02<?7482d9=:49;:m26=<72-8:47?<0:l152<032e:>:4?:%02<?7482d9=:47;:m263<72-8:47?<0:l152<>32e:>84?:%02<?7482d9=:4n;:m261<72-8:47?<0:l152<e32e:>>4?:%02<?7482d9=:4l;:m267<72-8:47?<0:l152<c32e:><4?:%02<?7482d9=:4j;:m265<72-8:47?<0:l152<a32e:=h4?:%02<?7482d9=:4>0:9l54b=83.9=54>319m641=9810c<?l:18'64>=9::0b??8:008?j76i3:1(??7:013?k46?3;876a>1983>!4603;8<6`=16820>=h98=1<7*=198275=i:8=1=854o035>5<#:821=>>4n334>40<3f;:97>5$33;>4573g8:;7?8;:m251<72-8:47?<0:l152<6021d=<=50;&15=<6;91e><951898k45>290/><651228j77028k07b?<8;29 77?289;7c<>7;3a?>i6;>0;6)<>8;304>h59>0:o65`12494?"5910:?=5a20595a=<g89>6=4+20:9566<f;;<6<k4;n300?6=,;;36<=?;o023?7a32e:?>4?:%02<?7482d9=:4=0:9l57g=83.9=54>319m641=:810c<?i:18'64>=9::0b??8:308?j76:3:1(??7:013?k46?38876}86e83>7}Y9920R<=>;|q42`<72;qU==84^00e?xu0>o0;6?uQ1178Z44b3ty<;=4?:3y]552<V88o7p}87083>7}Y9990R<<l;|q437<72;qU==<4^00a?xu0?:0;6?uQ1128Z44>3ty<;94?:3y]bc=Y9;20q~985;296~Xam2T:>:5rs655>5<5sWlo7S?=6:p321=838pRkm4^006?xu0?10;6?uQfc9]572<uz=<57>52z\ee>X6::1v:9n:181[`>3W;9>6s|76`94?4|Vo20R<<>;|q43f<72;qUj:5Q1328yv10l3:1>vPi5:\25`=z{>=n6=4={_d7?[76l2wx;:h50;0xZc5<V8;h7p}88183>7}Yn81U=<o4}r5;5?6=:rTnj6P>199~w2>52909wSkj;_323>{t?191<7<t^df8Z4713ty<494?:3y]af=Y98?0q~975;296~Xbj2T:=95rs6:5>5<5sWoj7S?>3:p3=1=838pR<??;_30=>{t?121<7<t^02e?[7402wx;5750;0xZ46b3W;8;6s|79c94?4|V8:o7S?<6:p3=d=838pR<>l;_301>{t?1i1<7<t^02a?[74<2wx;5j50;0xZ46f3W;8?6s|79g94?4|V8::7S?=a:p3=`=838pRk84^03e?xu0190;6?uQe89]544<uth9ni4?:283>5}#:>:1>:;4H355?M4092cio7>5;h377?6=3f;<?7>5;|`1f`<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th9nk4?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk8h<7>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`1g4<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th9o?4?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk8h?7>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`1g1<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th9o84?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk8h:7>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`1g2<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th9o54?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk8h57>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`1gd<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th9oo4?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk8ho7>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`1ga<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th9oh4?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk8hj7>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`1`5<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th9h<4?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk8o>7>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`1`6<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th9h94?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk8o97>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`1`3<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th9h:4?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk8o47>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`1`<<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th9hl4?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk8on7>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`1`f<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th9hi4?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk8oi7>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`1`c<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th9i=4?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk8n=7>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`1a7<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th9i>4?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk8n87>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`1a0<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th9i;4?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk8n;7>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`1a=<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th9i44?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk8nm7>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`1ag<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th9in4?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk8nh7>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`1a`<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th9ik4?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk8m<7>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`1b4<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th9j?4?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk8m?7>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`1b1<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th9j84?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk8m:7>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`1b2<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th9j54?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk8m57>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`1bd<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th9jo4?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk8mo7>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`1ba<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th9jh4?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk8mj7>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`045<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th8<<4?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk9;>7>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`046<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th8<94?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk9;97>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`043<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th8<:4?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk9;47>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`04<<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th8<l4?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk9;n7>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`04f<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th8<i4?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk9;i7>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`04c<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th8==4?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk9:=7>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`057<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th8=>4?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk9:87>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`050<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th8=;4?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk9:;7>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`05=<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th8=44?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk9:m7>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`05g<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th8=n4?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk9:h7>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`05`<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th8=k4?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk99<7>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`064<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th8>?4?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk99?7>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`061<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th8>84?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk99:7>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`062<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th8>54?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk9957>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`06d<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th8>o4?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk99o7>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`06a<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th8>h4?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk99j7>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`075<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th8?<4?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk98>7>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`076<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th8?94?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk9897>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`073<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th8?:4?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk9847>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`07<<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th8?l4?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk98n7>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`07f<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th8?i4?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk98i7>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`07c<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th88=4?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk9?=7>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`007<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th88>4?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk9?87>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`000<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th88;4?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk9?;7>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`00=<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th8844?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk9?m7>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`00g<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th88n4?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk9?h7>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`00`<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th88k4?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk9><7>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`014<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th89?4?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk9>?7>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`011<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th8984?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk9>:7>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`012<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th8954?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk9>57>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`01d<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th89o4?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk9>o7>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`01a<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th89h4?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk9>j7>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`025<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th8:<4?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk9=>7>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`026<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th8:94?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk9=97>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`023<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th8::4?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk9=47>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`02<<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th8:l4?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk9=n7>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`02f<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th8:i4?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk9=i7>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`02c<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th8;=4?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk9<=7>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`037<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th8;>4?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk9<87>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`030<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th8;;4?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk9<;7>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`03=<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th8;44?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk9<m7>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`03g<72:0;6=u+2629623<@;==7E<81:kag?6=3`;??7>5;n347?6=3th8;n4?:583>5}#:>:1=;k4H355?M4092cio7>5;hg4>5<<a;8=6=44o050>5<<uk9<h7>54;294~"5?90:8:5G2648L7163-;?>7?i;h30b?6=3`;?<7>5;h375?6=3f;<?7>5;|`03`<72<0;6=u+262956e<@;==7E<81:&207<53`=j6=44ic094?=nl:0;66g=1b83>>i59k0;66sm36d94?3=83:p(?9?:01`?M40>2B9;<5+15096>o0i3:17dl=:188ma5=831b><m50;9l64d=831vn>6?:186>5<7s-8<<7?<c:J133=O:>;0(<:=:39j3d<722ci>7>5;hf0>5<<a;;h6=44o33a>5<<uk93=7>55;294~"5?90:?n5G2648L7163-;?>7<4i6c94?=nj;0;66gk3;29?l46k3:17b<>b;29?xd40;0;684?:1y'626=9:i0D?99;I045>"6<;097d9n:188mg4=831bh>4?::k15f<722e9=o4?::a7=5=83?1<7>t$353>45d3A8<:6F=709'514=:2c<m7>5;h`1>5<<am91<75f20a94?=h:8h1<75rb2:7>5<2290;w)<80;30g>N5??1C>:?4$061>7=n?h0;66gm2;29?lb42900e??l:188k77e2900qo=75;291?6=8r.9;=4>3b9K620<@;=:7)?;2;08m2g=831bn?4?::kg7?6=3`8:o7>5;n02f?6=3th84;4?:483>5}#:>:1=>m4H355?M4092.:8?4=;h5b>5<<ak81<75fd283>>o59j0;66a=1c83>>{e;1=1<7;50;2x 717289h7E<86:J134=#9=81>6g8a;29?ld52900ei=50;9j64e=831d><l50;9~f6>?290>6=4?{%044?74k2B9;;5G2638 4252;1b;l4?::ka6?6=3`n86=44i33`>5<<g;;i6=44}c1;=?6==3:1<v*=71827f=O:><0D?9>;%376?4<a>k1<75fb383>>oc;3:17d<>c;29?j46j3:17pl<8`83>0<729q/>:>512a8L7113A8<=6*>4381?l1f2900eo<50;9j`6<722c9=n4?::m15g<722wi?5l50;794?6|,;=;6<=l;I042>N5?81/=9<52:k4e?6=3`h96=44ie194?=n:8i1<75`20`94?=zj:2h6=4::183!4083;8o6F=779K627<,8>96?5f7`83>>oe:3:17dj<:188m77d2900c??m:188yg5?l3:197>50z&135<6;j1C>:84H352?!73:380e:o50;9jf7<722co?7>5;h02g?6=3f8:n7>5;|`0<`<72<0;6=u+262956e<@;==7E<81:&207<53`=j6=44ic094?=nl:0;66g=1b83>>i59k0;66sm39d94?3=83:p(?9?:01`?M40>2B9;<5+15096>o0i3:17dl=:188ma5=831b><m50;9l64d=831vn>7?:186>5<7s-8<<7?<c:J133=O:>;0(<:=:39j3d<722ci>7>5;hf0>5<<a;;h6=44o33a>5<<uk92=7>55;294~"5?90:?n5G2648L7163-;?>7<4i6c94?=nj;0;66gk3;29?l46k3:17b<>b;29?xd41;0;684?:1y'626=9:i0D?99;I045>"6<;097d9n:188mg4=831bh>4?::k15f<722e9=o4?::a7<5=83?1<7>t$353>45d3A8<:6F=709'514=:2c<m7>5;h`1>5<<am91<75f20a94?=h:8h1<75rb2;7>5<2290;w)<80;30g>N5??1C>:?4$061>7=n?h0;66gm2;29?lb42900e??l:188k77e2900qo=65;291?6=8r.9;=4>3b9K620<@;=:7)?;2;08m2g=831bn?4?::kg7?6=3`8:o7>5;n02f?6=3th85;4?:483>5}#:>:1=>m4H355?M4092.:8?4=;h5b>5<<ak81<75fd283>>o59j0;66a=1c83>>{e;0=1<7;50;2x 717289h7E<86:J134=#9=81>6g8a;29?ld52900ei=50;9j64e=831d><l50;9~f6??290>6=4?{%044?74k2B9;;5G2638 4252;1b;l4?::ka6?6=3`n86=44i33`>5<<g;;i6=44}c1:=?6==3:1<v*=71827f=O:><0D?9>;%376?4<a>k1<75fb383>>oc;3:17d<>c;29?j46j3:17pl<9`83>0<729q/>:>512a8L7113A8<=6*>4381?l1f2900eo<50;9j`6<722c9=n4?::m15g<722wi?4l50;794?6|,;=;6<=l;I042>N5?81/=9<52:k4e?6=3`h96=44ie194?=n:8i1<75`20`94?=zj:3h6=4::183!4083;8o6F=779K627<,8>96?5f7`83>>oe:3:17dj<:188m77d2900c??m:188yg5>l3:197>50z&135<6;j1C>:84H352?!73:380e:o50;9jf7<722co?7>5;h02g?6=3f8:n7>5;|`0=`<72<0;6=u+262956e<@;==7E<81:&207<53`=j6=44ic094?=nl:0;66g=1b83>>i59k0;66sm38d94?3=83:p(?9?:01`?M40>2B9;<5+15096>o0i3:17dl=:188ma5=831b><m50;9l64d=831vn>o?:186>5<7s-8<<7?<c:J133=O:>;0(<:=:39j3d<722ci>7>5;hf0>5<<a;;h6=44o33a>5<<uk9j=7>55;294~"5?90:?n5G2648L7163-;?>7<4i6c94?=nj;0;66gk3;29?l46k3:17b<>b;29?xd4i;0;684?:1y'626=9:i0D?99;I045>"6<;097d9n:188mg4=831bh>4?::k15f<722e9=o4?::a7d5=83?1<7>t$353>45d3A8<:6F=709'514=:2c<m7>5;h`1>5<<am91<75f20a94?=h:8h1<75rb2c7>5<2290;w)<80;30g>N5??1C>:?4$061>7=n?h0;66gm2;29?lb42900e??l:188k77e2900qo=n5;291?6=8r.9;=4>3b9K620<@;=:7)?;2;08m2g=831bn?4?::kg7?6=3`8:o7>5;n02f?6=3th8m;4?:483>5}#:>:1=>m4H355?M4092.:8?4=;h5b>5<<ak81<75fd283>>o59j0;66a=1c83>>{e;h=1<7;50;2x 717289h7E<86:J134=#9=81>6g8a;29?ld52900ei=50;9j64e=831d><l50;9~f6g?290>6=4?{%044?74k2B9;;5G2638 4252;1b;l4?::ka6?6=3`n86=44i33`>5<<g;;i6=44}c1b=?6==3:1<v*=71827f=O:><0D?9>;%376?4<a>k1<75fb383>>oc;3:17d<>c;29?j46j3:17pl<a`83>0<729q/>:>512a8L7113A8<=6*>4381?l1f2900eo<50;9j`6<722c9=n4?::m15g<722wi?ll50;794?6|,;=;6<=l;I042>N5?81/=9<52:k4e?6=3`h96=44ie194?=n:8i1<75`20`94?=zj:kh6=4::183!4083;8o6F=779K627<,8>96?5f7`83>>oe:3:17dj<:188m77d2900c??m:188yg5fl3:197>50z&135<6;j1C>:84H352?!73:380e:o50;9jf7<722co?7>5;h02g?6=3f8:n7>5;|`0e`<72<0;6=u+262956e<@;==7E<81:&207<53`=j6=44ic094?=nl:0;66g=1b83>>i59k0;66sm3`d94?3=83:p(?9?:01`?M40>2B9;<5+15096>o0i3:17dl=:188ma5=831b><m50;9l64d=831vn>l?:186>5<7s-8<<7?<c:J133=O:>;0(<:=:39j3d<722ci>7>5;hf0>5<<a;;h6=44o33a>5<<uk9i=7>55;294~"5?90:?n5G2648L7163-;?>7<4i6c94?=nj;0;66gk3;29?l46k3:17b<>b;29?xd4j;0;684?:1y'626=9:i0D?99;I045>"6<;097d9n:188mg4=831bh>4?::k15f<722e9=o4?::a7g5=83?1<7>t$353>45d3A8<:6F=709'514=:2c<m7>5;h`1>5<<am91<75f20a94?=h:8h1<75rb2`7>5<2290;w)<80;30g>N5??1C>:?4$061>7=n?h0;66gm2;29?lb42900e??l:188k77e2900qo=m5;291?6=8r.9;=4>3b9K620<@;=:7)?;2;08m2g=831bn?4?::kg7?6=3`8:o7>5;n02f?6=3th8n;4?:483>5}#:>:1=>m4H355?M4092.:8?4=;h5b>5<<ak81<75fd283>>o59j0;66a=1c83>>{e;k=1<7;50;2x 717289h7E<86:J134=#9=81>6g8a;29?ld52900ei=50;9j64e=831d><l50;9~f6d?290>6=4?{%044?74k2B9;;5G2638 4252;1b;l4?::ka6?6=3`n86=44i33`>5<<g;;i6=44}c1a=?6==3:1<v*=71827f=O:><0D?9>;%376?4<a>k1<75fb383>>oc;3:17d<>c;29?j46j3:17pl<b`83>0<729q/>:>512a8L7113A8<=6*>4381?l1f2900eo<50;9j`6<722c9=n4?::m15g<722wi?ol50;794?6|,;=;6<=l;I042>N5?81/=9<52:k4e?6=3`h96=44ie194?=n:8i1<75`20`94?=zj:hh6=4::183!4083;8o6F=779K627<,8>96?5f7`83>>oe:3:17dj<:188m77d2900c??m:188yg5el3:197>50z&135<6;j1C>:84H352?!73:380e:o50;9jf7<722co?7>5;h02g?6=3f8:n7>5;|`0f`<72<0;6=u+262956e<@;==7E<81:&207<53`=j6=44ic094?=nl:0;66g=1b83>>i59k0;66s|40094?5|V::01?l::02b?84e>3;;m6s|40194?5|V;n01?l::022?84e>3;;=6s|40694?5|V;i01?l::g4897d12o<0q~:>5;297~X5j279n84j9:?1f3<b12wx8<850;1xZ7?<5;h86k?4=3`7>c7<uz>:;7>53z\1<>;5j:0nj63=b58fb>{t<821<7=t^35897d42lo01?l;:dg8yv2613:1?vP=6:?1f6<bl279n94jd:p04g=839pR?;4=3`0>`e<5;h?6hm4}r62f?6=;rT9863=b28ff>;5j=0nn6s|40a94?5|V;901?l<:dc897d32lk0q~:>d;297~X40279n>4>119>6g2=98:0q~:>e;297~X4?279n>4>0g9>6g2=99l0q~:>f;297~X4>279n>4>0d9>6g2=99o0q~:=0;297~X4=279n>4>0e9>6g2=99n0q~:=1;297~X4<279n>4>0b9>6g2=99i0q~:=2;297~X4;279n>4>0c9>6g2=99h0q~:=3;297~X4:279n>4>0`9>6g2=99k0q~:=4;297~X49279n>4>009>6g2=99;0q~:=5;297~X5m279n>4i6:?1f1<a>2wx8?850;1xZ74<5;h86h74=3`7>`?<uz>9;7>511y]0<=::k;1j>522c39b4=::k;1ik522c39a`=::k;1ii522c39af=::k;1io522c39ad=::k;1=<>4=3`2>46a348i=7??e:?1f4<68m16>o?511a897d628:i70<m1;33e>{t<;21<7<t^54897d628::7p};2883>7}Y<<16>o?5f79~w14f2909wS:;;<0a5?c>3ty?>o4?:3y]07=::k81j<5rs50`>5<5sW>:70<m2;ge?xu3:m0;6?uQ419>6g4=ml1v9<j:181[5a348i>7kk;|q76c<72;qU?h522c09af=z{=9;6=4={_1g?84e:3oi7p};3083>7}Y;j16>o<5e`9~w1552909wS;>;<0a6?7682wx8>=50;0xZ06<5;h96<>i;|q771<72;qU8k522c0955c<uz>897>52z\7a>;5j;0:<i5rs515>5<5sW>o70<m2;33g>{t<:=1<7<t^5a897d528:i7p};3983>7}Y<k16>o<511c8yv2413:1>vP;a:?1f7<6881v9=n:181[20348i>7h9;|q77g<72;qU?o522c09a<=z{=9h6=4:2z\a`>;5j90ih63=ag8a`>;5il0ih63=ae8a`>;5j80ih63=b38a`>;5j:0ih63=b58a`>;5j<0ih63=b78a`>;5j>0ih63=b98a`>;5j00ih63=b`8a`>;5jk0ih63=bb8a`>;4jl0<m63<be84e>;4jj0<m63<bc84e>;4jh0<m63<b884e>;4j10<m63<b684e>;4j?0<m63<b484e>;4j=0<m63<b284e>;4j;0<m63<b084e>;4j90<m63<ag84e>;4il0<m63<ae84e>;4ij0<m63<ac84e>;4ih0<m63<a884e>;4i10<m63<a684e>;4i?0<m63<a484e>;4i=0<m63<a284e>;4i;0<m63<a084e>;4i90<m63<9g84e>;41l0<m63<9e84e>;41j0<m63<9c84e>;41h0<m63<9884e>;4110<m63<9684e>;41?0<m63<9484e>;41=0<m63<9284e>;41;0<m63<9084e>;4190<m63<8g84e>;40l0<m63<8e84e>;40j0<m63<8c84e>;40h0<m63<8884e>;4010<m63<8684e>;40?0<m63<8484e>;40=0<m63<8284e>;40;0<m63<8084e>;4090<m63<7g84e>;4?l0<m6s|42f94?25sWh970=me;`1?85el3h970=mc;`1?85ej3h970=ma;`1?85e13h970=m8;`1?85e?3h970=m6;`1?85e=3h970=m4;`1?85e;3h970=m2;`1?85e93h970=m0;`1?85fn3h970=ne;`1?85fl3h970=nc;`1?85fj3h970=na;`1?85f13h970=n8;`1?85f?3h970=n6;`1?85f=3h970=n4;`1?85f;3h970=n2;`1?85f93h970=n0;`1?85>n3h970=6e;`1?85>l3h970=6c;`1?85>j3h970=6a;`1?85>13h970=68;`1?85>?3h970=66;`1?85>=3h970=64;`1?85>;3h970=62;`1?85>93h970=60;`1?85?n3h970=7e;`1?85?l3h970=7c;`1?85?j3h970=7a;`1?85?13h970=78;`1?85??3h970=76;`1?85?=3h970=74;`1?85?;3h970=72;`1?85?93h970=70;`1?850n3h970=8e;`1?xu3;l0;69<t^34;?85em38:o63<be815f=:;ki1><m4=2`a>77d349im7<>c:?0f<<59j16?o6520a896d02;;h70=m6;02g>;4j<09=n523c6964e<5:h86??l;<1a6?46k278n<4=1b9>7g6=:8i01>oi:33`?85fm38:o63<ae815f=:;hi1><m4=2ca>77d349jm7<>c:?0e<<59j16?l6520a896g02;;h70=n6;02g>;4i<09=n523`6964e<5:k86??l;<1b6?46k278m<4=1b9>7d6=:8i01>7i:33`?85>m38:o63<9e815f=:;0i1><m4=2;a>77d3492m7<>c:?0=<<59j16?46520a896?02;;h70=66;02g>;41<09=n52386964e<5:386??l;<1:6?46k2785<4=1b9>7<6=:8i01>6i:33`?85?m38:o63<8e815f=:;1i1><m4=2:a>77d3493m7<>c:?0<<<59j16?56520a896>02;;h70=76;02g>;40<09=n52396964e<5:286??l;<1;6?46k2784<4=1b9>7=6=:8i01>9i:33`?850m38:o6s|42d94?2|V;<870<mf;g4?850n38:n63=c18204=z{=>;6=4;{_055>;5k;0n;63<81815g=::j91=9?4}r675?6=<rT9:=522b79a2=:;1;1><l4=3a5>4263ty?8?4?:5y]60`<5;i36h94=2:1>77e348h57?;1:p015=83>pR?;k;<0`f?c03493?7<>b:?1gf<6<81v9:;:187[42k279oh4j7:?0<1<59k16>nh51538yv23=3:18vP=5c9>6a7=m>16?5;520`897b528>:7p};4783>1}Y:<k01?j;:d5896>12;;i70<k5;375>{t<==1<7:t^37:?84c?3o<70=77;02f>;5l10:8<5rs56;>5<3sW8>463=d`8f3>;40109=o522e`9517<uz>?57>54z\112=::mn1i:5239;964d<5;nn6<:>;|q70d<72=qU>884=3g3>`1<5:2j6??m;<0f5?7392wx89l50;6xZ732348n?7k8;<1;f?46j279i94>409~w12d290?wS<:4:?1a3<b?2784n4=1c9>6`1=9=;0q~:;d;290~X5=;16>h75e69>7=b=:8h01?kn:062?xu3<l0;69uQ243897cd2l=01>6j:33a?84bl3;?=6s|45d94?2|V;?;70<jf;g4?85?n38:n63=f18204=z{=?;6=4;{_07b>;5n;0n;63<91815g=::o91=9?4}r665?6=<rT98h522g79a2=:;0;1><l4=3d5>4263ty?9?4?:5y]61b<5;l36h94=2;1>77e348m57?;1:p005=83>pR?:l;<0ef?c03492?7<>b:?1bf<6<81v9;;:187[43j279jh4j7:?0=1<59k16>kh51538yv22=3:18vP=4`9>757=m>16?4;520`8966528>:7p};5783>1}Y:=301>>;:d5896?12;;i70=?5;375>{t<<=1<7:t^364?857?3o<70=67;02f>;4810:8<5rs57;>5<3sW8?:63<0`8f3>;41109=o5231`9517<uz>>57>54z\100=:;9n1i:5238;964d<5::n6<:>;|q71d<72=qU>9:4=233>`1<5:3j6??m;<125?7392wx88l50;6xZ724349:?7k8;<1:f?46j278=94>409~w13d290?wS<;2:?053<b?2785n4=1c9>741=9=;0q~::d;290~X5<816?<75e69>7<b=:8h01>?n:062?xu3=l0;69uQ2528967d2l=01>7j:33a?856l3;?=6s|44d94?2|V;9m70=>f;g4?85>n38:n63<218204=z{=<;6=4;{_00a>;4:;0n;63<a1815g=:;;91=9?4}r655?6=<rT9?n523379a2=:;h;1><l4=205>4263ty?:?4?:5y]66d<5:836h94=2c1>77e349957?;1:p035=83>pR?=n;<11f?c0349j?7<>b:?06f<6<81v98;:187[441278>h4j7:?0e1<59k16??h51538yv21=3:18vP=399>767=m>16?l;520`8965528>:7p};6783>1}Y::=01>=;:d5896g12;;i70=<5;375>{t<?=1<7:t^315?854?3o<70=n7;02f>;4;10:8<5rs54;>5<3sW88963<3`8f3>;4i109=o5232`9517<uz>=57>54z\171=:;:n1i:523`;964d<5:9n6<:>;|q72d<72=qU>>=4=263>`1<5:kj6??m;<175?7392wx8;l50;6xZ756349??7k8;<1bf?46j278894>409~w10d290?wS<<0:?003<b?278mn4=1c9>711=9=;0q~:9d;290~X5:o16?975e69>7db=:8h01>:n:062?xu3>l0;69uQ23g8962d2l=01>oj:33a?853l3;?=6s|47d94?2|V;8o70=;f;g4?85fn38:n63<518204=z{==;6=4;{_01g>;4=;0n;63<b1815g=:;<91=9?4}r645?6=<rT9>o523479a2=:;k;1><l4=275>4263ty?;?4?:5y]67g<5:?36h94=2`1>77e349>57?;1:p025=83>pR?<6;<16f?c0349i?7<>b:?01f<6<81v99;:187[4502789h4j7:?0f1<59k16?8h51538yv20=3:18vP=669>737=m>16?o;520`8960528>:7p};7783>1}Y:?<01>8;:d5896d12;;i70=95;375>{t<>=1<7:t^346?851?3o<70=m7;02f>;4>10:8<5rs55;>5<3sW8=863<6`8f3>;4j109=o5237`9517<uz><57>54z\11`=:;?n1i:523c;964d<5:<n6<:>;|q73d<72=qU>8=4=253>`1<5:hj6??m;<145?7392wx8:l50;6xZ72?349<?7k8;<1af?46j278;94>409~w11d290?wS<<d:?033<b?278nn4=1c9>721=9=;0q~:8d;290~X5;;16?:75e69>7gb=:8h01>9n:062?xu3?l0;69uQ2358961d2l=01>lj:33a?850l3;?=6s|46d94?75<r79mn4>3e9>6g6=ij16>o>5b29>6g6=j=16>o>5b49>6g6=j?16>o>5b69>6g6=j116>o>5b89>6g6=jh16>o>5d59>6g6=ll16>o>5dg9>6g6=m916>o>5e09>6g6=m;16>o>5e29>6g6=m=16>o>5e49>6g6=m?16>o>5d49>6g6=l?16>o>5d69>6g6=l116>o>5d89>6g6=lh16>o>5dc9>6g6=lm16>o>5164897d728=270<m0;34e>;5j90:;o522c2952b<5;h;6<l:;<0a4?7d8279n=4>cc9>6g6=9m<01?l?:0g3?84e83;n=63=b182a7=::k:1=h=4=3`3>4c3348i<7?j5:?1f5<6j?16>o>51c5897d728h370<m0;3a=>;5j90:nl522c295gd<5;h;6<ll;<0a4?7el279n=4>bd9>6g6=9kl01?l?:0a2?84e83;h>63=b182g6=::k:1=n:4=3`3>4e2348i<7?l6:?1f5<6k>16>o>51b:897d728i270<m0;3`e>;5j90:on522c295fb<5;h;6<mj;<0a4?7dn279n=4>d19>6g6=9m;01?l?:0f1?84e83;o?63=b182`1=::k:1=i;4=3`3>4b0348i<7?k8:?1f5<6l016>o>51ec897d728ni70<m0;3gg>;5j90:hi522c295a`<5;h;6??k;<0a4?46m279n=4=1g9>6g6=:;:01?l?:302?84e8389>63=b18166=::k:1>?:4=3ce>de<5;km6o=4=3ce>g2<5;km6o;4=3ce>g0<5;km6o94=3ce>g><5;km6o74=3ce>gg<5;km6i:4=3ce>ac<5;km6ih4=3ce>`6<5;km6h?4=3ce>`4<5;km6h=4=3ce>`2<5;km6h;4=3ce>`0<5;km6i;4=3ce>a0<5;km6i94=3ce>a><5;km6i74=3ce>ag<5;km6il4=3ce>ab<5;km6<99;<0bb?700279mk4>789>6d`=9>k01?oi:05a?84fn38:h63=ag815`=::hl1><h4=3ce>747348jj7<=1:?1ec<5:;16>lh5231897ga2;8?70<ne;c`?84fm3h870<ne;`7?84fm3h>70<ne;`5?84fm3h<70<ne;`;?84fm3h270<ne;`b?84fm3n?70<ne;ff?84fm3nm70<ne;g3?84fm3o:70<ne;g1?84fm3o870<ne;g7?84fm3o>70<ne;g5?84fm3n>70<ne;f5?84fm3n<70<ne;f;?84fm3n270<ne;fb?84fm3ni70<ne;fg?84fm3;<:63=ad823<=::ho1=:o4=3cf>41e348ji7<>d:?1e`<59l16>lk520d897gb2;8;70<ne;015>;5il09>?522`g9675<5;kn6?<;;<0b`?gd348jh7l<;<0b`?d3348jh7l:;<0b`?d1348jh7l8;<0b`?d?348jh7l6;<0b`?df348jh7j;;<0b`?bb348jh7ji;<0b`?c7348jh7k>;<0b`?c5348jh7k<;<0b`?c3348jh7k:;<0b`?c1348jh7j:;<0b`?b1348jh7j8;<0b`?b?348jh7j6;<0b`?bf348jh7jm;<0b`?bc348jh7?86:?1ea<6?016>lj516c897gc28=i70<nd;02`>;5im09=h522`f964`<5;ko6?<?;<0b`?459279mi4=239>6db=:;901?ok:307?84e83;<;63=b1823==::k;1>;64=3`1>c5<5;h96?87;<0a7?`4348i?7<98:?1f1<a;279n94=699>6g3=:?201?l9:34;?84e?38=463=b9812==::k31i4522c;963><5;hj6?87;<0af?410279nn4=699>6gb=9=901>9l:ca8961e2ki01?m?:01e?84d;3;8j63=c7827c=::j31=>h4=3a`>45a348hj7?<f:?1`7<6;o16>i;512d897b?289m70<kb;30b>;5ll0:?k522d3956`<5;o?6<=i;<0f3?74n279il4>3g9>6`b=9:l01?h?:01e?84a;3;8j63=f7827c=::o31=>h4=3d`>45a348mj7?<f:?047<6;o16?=;512d8966?289m70=?b;30b>;48l0:?k52303956`<5:;?6<=i;<123?74n278=l4>3g9>74b=9:l01><?:01e?855;3;8j63<27827c=:;;31=>h4=20`>45a3499j7?<f:?077<6;o16?>;512d8965?289m70=<b;30b>;4;l0:?k52353956`<5:>?6<=i;<173?74n2788l4>3g9>71b=9:l01>;?:01e?852;3;8j63<57827c=:;<31=>h4=27`>45a349>j7?<f:?027<6;o16?;;512d8960?289m70=9b;30b>;4>l0:?k52363956`<5:=?6<=i;<143?74n278;l4>3g9>72b=9:l0q~:70;296~;4?m0:8=522c`9544<uz>3=7>52z?03d<6<916>ol510d8yv2?:3:1>v3<768205=::kh1=?o4}r6;7?6=:r78;94>419>6gd=9:90q~:74;296~;4?80:8=522c`9562<uz>397>52z?02`<6<916>ol51278yv2?>3:1>v3<6c8205=::kh1=>84}r6;3?6=:r78:54>419>6gd=9:=0q~:78;296~;4><0:8=522c`956><uz>357>52z?027<6<916>ol512;8yv2?i3:1>v3<5g8205=::kh1=<=4}r6;f?6=:r789n4>419>6gd=98>0q~:7c;296~;4=00:8=522c`9543<uz>3h7>52z?013<6<916>ol51048yv2?m3:1>v3<528205=::kh1=<94}r6;b?6=:r789=4>419>6gd=9820q~:60;296~;4<m0:8=522c`954g<uz>2=7>52z?00d<6<916>oo51008yv2>:3:1>v3<468205=::kk1=<h4}r6:7?6=:r78894>419>6gg=9;k0q~:64;296~;4<80:8=522cc9565<uz>297>52z?07`<6<916>oo51268yv2>>3:1>v3<3c8205=::kk1=>;4}r6:3?6=:r78?54>419>6gg=9:<0q~:68;296~;4;<0:8=522cc9561<uz>257>52z?077<6<916>oo512:8yv2>i3:1>v3<2g8205=::kk1=>74}r6:f?6=:r78>n4>419>6gg=9890q~:6c;296~;4:00:8=522cc9542<uz>2h7>52z?063<6<916>oo51078yv2>m3:1>v3<228205=::kk1=<84}r6:b?6=:r78>=4>419>6gg=98=0q~:n0;296~;49m0:8=522cc954><uz>j=7>52z?05d<6<916>oo510c8yv2f:3:1>v3<168205=::ki1=<<4}r6b7?6=:r78=94>419>6ge=98l0q~:n4;296~;4980:8=522ca957g<uz>j97>52z?04`<6<916>om51218yv2f>3:1>v3<0c8205=::ki1=>:4}r6b3?6=:r78<54>419>6ge=9:?0q~:n8;296~;48<0:8=522ca9560<uz>j57>519y>754=9=:01?hi:063?84ak3;?<63=f88205=::o<1=9>4=3d0>427348m<7?;0:?1aa<6<916>ho5152897c028>;70<j4;374>;5m80:8=522eg9516<5;ni6<:?;<0g<?738279h84>419>6a4=9=:01?mi:063?84dk3;?<63=c88205=::j<1=9>4=3a0>427348h<7?;0:?1ff<6;>1v9on:18184fl3;3?63=bb8f=>{t<hh1<7<t=3cg>4>b348io7h9;|q7ef<72;q6>lj518;897dd28::7p};ae83>7}::hn1=l:4=3``>46f3ty?mh4?:3y>6db=9ho01?ll:02a?xu3io0;6?u22`f95d`<5;hh6<>l;|q7f5<72;q6>lj51c2897dd28:o7p};b083>7}::hn1=o?4=3``>46b3ty?n?4?:3y>6db=9k801?ll:02e?xu3j:0;6?u22`f95g5<5;hh6<??;|q7f1<72;q6>lj5196897dd2lk0q~:m5;296~;5im0:48522ca9ag=z{=h=6=4={<0b`?7?>279nn4jc:p0g1=838p1?ok:0:4?84ek3oo7p};b983>7}::hn1=564=3``>`c<uz>i57>52z?1ea<60016>om5eg9~w1df2909w0<nd;3;e>;5jj0m=6s|4c`94?4|5;ko6<6m;<0ag?`43ty?nn4?:3y>6db=91i01?ll:g68yv2el3:1>v3=ae82<a=::ki1j85rs5`f>5<5s48jh7?7f:?1ff<a?2wx8oh50;0x97gc283;70<mc;d;?xu3k90;6?u22`f95<7<5;hh6k74}r6`5?6=:r79mi4>939>6ge=nh1v9m=:18184fl3;2?63=bb8ef>{t<j91<7<t=3cg>4?3348io7hl;|q7g1<72;q6>lj5187897dd2on0q~:l5;296~;5im0:5;522ca9b`=z{=i=6=4={<0b`?7>?279nn4if:p0f1=838p1?ok:0;;?84ek3;;<6s|4b:94?4|5;ko6<7n;<0ag?77:2wx8n750;0x97gc283i70<mc;337>{t<jk1<7<t=3cg>4?d348io7??4:p0fd=838p1?ok:0;g?84ek3;;96s|4ba94?4|5;ko6<7j;<0ag?77>2wx8nj50;0x97gc283m70<mc;33<>{t<jo1<7<t=3ce>4d2348ji7?j6:p0f`=838p1?oi:0a3?84fm3;m=6s|4e294?4|5;km6<mm;<0ba?7ak2wx8i?50;0x97ga28n=70<ne;033>{t<m81<7<t=3ce>4c7348ji7<>1:p0a5=838p1?oi:0g2?84fm38:>6s|4e694?4|5;km6<k=;<0ba?46;2wx8i;50;0x97ga28o870<ne;020>{t<m<1<7<t=3ce>4c3348ji7<>5:p0a1=838p1?oi:0g6?84fm38::6s|4e:94?4|5;km6<l9;<0ba?7b?2wx8i750;0x97ga28h<70<ne;3f<>{t<mk1<7<t=3ce>4d?348ji7?j9:p0ad=838p1?oi:0`:?84fm3;nm6s|4ea94?4|5;km6<ln;<0ba?7bj2wx8ij50;0x97ga28hi70<ne;3fg>{t<mo1<7<t=3ce>4dd348ji7?jd:p0a`=838p1?oi:0`g?84fm3;ni6s|4d294?4|5;km6<lj;<0ba?7bn2wx8h?50;0x97ga28hm70<ne;3e4>{t<l81<7<t=3ce>4e6348ji7?i2:p0`5=838p1?oi:0a1?84fm3;m?6s|4d694?4|5;km6<m<;<0ba?7a<2wx8h;50;0x97ga28i?70<ne;3e1>{t<l<1<7<t=3ce>4e2348ji7?i6:p0`1=838p1?oi:0a5?84fm3;m;6s|4d:94?4|5;km6<m8;<0ba?7a02wx8h750;0x97ga28i370<ne;3e=>{t<lk1<7<t=3ce>4e>348ji7?ia:p0`d=838p1?oi:0ab?84fm3;mn6s|4da94?4|5;km6<ml;<0ba?7al2wx8hj50;0x97ga28io70<ne;3ea>{t<lo1<7<t=3ce>4eb348ji7?if:p0``=838p1?oi:0ae?84fm38;<6s|4g294?4|5;km6<j?;<0ba?4792wx8k?50;0x97ga28n:70<ne;036>{t<o81<7<t=3ce>4b5348ji7<?3:p0c5=838p1?oi:0f0?84fm38;86s|4g694?4|5;km6<j;;<0ba?47=2wx8k;50;0x97ga28n>70<ne;032>{t<o<1<7<t=3ce>4b0348ji7<?8:p0c1=838p1?oi:0f;?84fm38;56s|4g:94?4|5;km6<j6;<0ba?47i2wx8k750;0x97ga28nj70<ne;03f>{t<ok1<7<t=3ce>4be348ji7<?c:p0cd=838p1?oi:0f`?84fm38;h6s|4ga94?4|5;km6<jk;<0ba?47m2wx8kj50;0x97ga28nm70<ne;024>{t<oo1<7=t=3ce>41c348i;7?>2:?1f=<b12wx8kh50;0x97ga28o=70<nd;3a1>{t=9:1<7<t=3ce>4`6348jh7?l0:p157=838p1?oi:0d`?84fl3;hn6s|51094?4|5;km6?>8;<0b`?7c>2wx9==50;0x97ga2;;:70<nd;3f4>{t=9>1<7<t=3ce>775348jh7?j1:p153=838p1?oi:330?84fl3;n>6s|51494?4|5;km6??;;<0b`?7b;2wx9=950;0x97ga2;;>70<nd;3f0>{t=921<7<t=3ce>771348jh7?j5:p15?=838p1?oi:0g4?84fl3;i:6s|51c94?4|5;km6<k7;<0b`?7e?2wx9=l50;0x97ga28o270<nd;3a<>{t=9i1<7<t=3ce>4cf348jh7?m9:p15b=838p1?oi:0ga?84fl3;im6s|51g94?4|5;km6<kl;<0b`?7ej2wx9=h50;0x97ga28oo70<nd;3ag>{t=8:1<7<t=3ce>4cb348jh7?md:p147=838p1?oi:0ge?84fl3;ii6s|50094?4|5;km6<h?;<0b`?7en2wx9<=50;0x97ga28l970<nd;3`5>{t=8>1<7<t=3ce>4`4348jh7?l2:p143=838p1?oi:0d7?84fl3;h?6s|50494?4|5;km6<h:;<0b`?7d<2wx9<950;0x97ga28l=70<nd;3`1>{t=821<7<t=3ce>4`0348jh7?l6:p14?=838p1?oi:0d;?84fl3;h;6s|50c94?4|5;km6<h6;<0b`?7d02wx9<l50;0x97ga28lj70<nd;3`=>{t=8i1<7<t=3ce>4`e348jh7?la:p14b=838p1?oi:0dg?84fl3;ho6s|50g94?4|5;km6<hj;<0b`?7dl2wx9<h50;0x97ga28lm70<nd;3`a>{t=;:1<7<t=3ce>767348jh7?lf:p177=838p1?oi:322?84fl3;o<6s|53094?4|5;km6?>=;<0b`?7c92wx9?=50;0x97ga2;:870<nd;3g6>{t=;>1<7<t=3ce>763348jh7?k3:p173=838p1?oi:326?84fl3;o86s|53494?4|5;km6?>9;<0b`?7c=2wx9?950;0x97ga2;:370<nd;3g3>{t=;21<7<t=3ce>76>348jh7?k8:p17?=838p1?oi:32b?84fl3;o56s|53c94?4|5;km6?>m;<0b`?7ci2wx9?l50;0x97ga2;:h70<nd;3gf>{t=;i1<7<t=3ce>76c348jh7?kc:p17b=838p1?oi:32f?84fl3;oh6s|53g94?4|5;km6???;<0b`?7cn2wx9?h50;0x97ga282i70<nd;5a?xu2;90;6?u22`d95=e<5;ko6584}r705?6=:r79mk4>8e9>6db=181v8==:18184fn3;3j63=ae8:g>{t=:91<7<t=3ce>4?7348jh7o9;|q671<72;q6>lh5183897gc2h=0q~;<5;296~;5io0:5?522`f9e==z{<9=6=4={<0bb?7>;279mi4n9:p161=838p1?oi:0;7?84fl3kj7p}:3983>7}::hl1=4;4=3cg>dd<uz?857>52z?1ec<61?16>lj57b9~w05f2909w0<nf;3:3>;5im0<h6s|52`94?4|5;km6<77;<0b`?1b3ty>?n4?:3y>6d`=90k01?ok:6d8yv34l3:1>v3=ag82=g=::hn14=5rs41f>5<5s48jj7?6c:?1ea<?92wx9>h50;0x97ga283o70<nd;:1?xu2<90;6?u22`d95<c<5;ko65=4}r775?6=:r79mk4>9g9>6db=0=1v8:=:18184fn3;j<63=ae8;1>{t==91<7<t=3ce>4g6348jh768;|q601<72;q6>lh51`0897gc2120q~;;5;296~;5io0:m>522`f9<<=z{<>=6=4={<0bb?7f=279mi47a:p111=838p1?oi:0c5?84fl32i7p}:4983>7}::hl1=l94=3cg>=e<uz??57>52z?1ec<6i116>lj58e9~w02f2909w0<nf;3b=>;5im03i6s|55`94?4|5;km6<on;<0b`?>a3ty>8n4?:3y>6d`=9hh01?ok:828yv33l3:1=>u22`d95db<5;ko64<4=3cg><5<5;ko64:4=3cg><3<5;ko6484=3cg><1<5;ko6464=3cg><?<5;ko64o4=3cg><d<5;ko64j4=3cg><c<5;ko64h4=3cg>d6<5;ko6l?4=3cg>d4<5;ko6l=4=3cg>d3<uz??i7>52z?1ec<60:16>oo5e89~w02a2909w0<nf;3;a>;5jh0m:6s|54294?4|5;km6<76;<0ae?7792wx98?50;0x97ga28k?70<ma;33e>{t=<81<7<t=3ce>4gb348im7??b:p105=838p1?oi:0ce?84ei3;;o6s|54694?4|5;km6<l?;<0ae?77l2wx98;50;0x97ga28h:70<ma;33a>{t=<<1<7<t=3ce>4d5348im7??f:p101=838p1?oi:0`0?84ei3;:<6s|54:94?4|5;km6<6;;<0ae?cf3ty>944?:3y>6d`=91?01?ln:d`8yv32i3:1>v3=ag82<3=::kk1in5rs47a>5<5s48jj7?77:?1fd<bl2wx98m50;0x97ga282370<ma;gf?xu2=m0;6?u22`d95=?<5;hj6hh4}r76a?6=:r79mk4>8`9>6gg=n81v8;i:18184fn3<>70<nd;1a?xu2>90;6?u22`d92c=::hn18:5rs442>5<5s48jj79?;<0b`?2f3ty>:?4?:3y>6d`=?816>lj54c9~w0042909w0<nf;51?84fl3>h7p}:6583>7}::hl1;>522`f90a=z{<<>6=4={<0bb?13348jh7:j;|q623<72;q6>lh5749>6db=<o1v888:18184fn3==70<nd;73?xu2>10;6?u22`d932=::hn19<5rs44:>5<5s48jj789;<0b`?5d3ty>:l4?:3y>6d`=>>16>lj53e9~w00e2909w0<nf;4;?84fl39n7p}:6b83>7}::hl1:4522`f97c=z{<<o6=4={<0bb?0f348jh7:?;|q62`<72;q6>lh56c9>6db=<81v88i:18184fn3<h70<nd;61?xu2?90;6?u22`d92`=::hn1895rs452>5<5s48jh7?8d:?1f=<69;1v89=:18184e83;n:63=ad82f0=z{<=86=4={<0a4?7a9279mh4>c19~w0132909w0<m0;3eg>;5il0:oo5rs456>5<5s48i<7<?7:?1e`<6l?1v899:18184e838:=63=ad82a5=z{<=<6=4={<0a4?46:279mh4>e09~w01?2909w0<m0;027>;5il0:i?5rs45:>5<5s48i<7<>4:?1e`<6m:1v89n:18184e838:963=ad82a1=z{<=i6=4={<0a4?46>279mh4>e49~w01d2909w0<m0;3f3>;5il0:n;5rs45g>5<5s48i<7?j8:?1e`<6j>1v89j:18184e83;n563=ad82f==z{<=m6=4={<0a4?7bi279mh4>b89~w0>72909w0<m0;3ff>;5il0:nl5rs4:2>5<5s48i<7?jc:?1e`<6jk1v86=:18184e83;nh63=ad82ff=z{<286=4={<0a4?7bm279mh4>be9~w0>32909w0<m0;3fb>;5il0:nh5rs4:6>5<5s48i<7?i0:?1e`<6jo1v869:18184e83;m>63=ad82g4=z{<2<6=4={<0a4?7a;279mh4>c39~w0>?2909w0<m0;3e0>;5il0:o>5rs4::>5<5s48i<7?i5:?1e`<6k=1v86n:18184e83;m:63=ad82g0=z{<2i6=4={<0a4?7a?279mh4>c79~w0>d2909w0<m0;3e<>;5il0:o:5rs4:g>5<5s48i<7?i9:?1e`<6k11v86j:18184e83;mm63=ad82g<=z{<2m6=4={<0a4?7aj279mh4>c`9~w0?72909w0<m0;3e`>;5il0:on5rs4;2>5<5s48i<7?ie:?1e`<6km1v87=:18184e83;mj63=ad82g`=z{<386=4={<0a4?478279mh4>cg9~w0?32909w0<m0;035>;5il0:h=5rs4;6>5<5s48i<7<?2:?1e`<6l81v879:18184e838;?63=ad82`7=z{<3<6=4={<0a4?47<279mh4>d29~w0??2909w0<m0;031>;5il0:h95rs4;:>5<5s48i<7<?6:?1e`<6l<1v87n:18184e838;463=ad82`2=z{<3i6=4={<0a4?471279mh4>d99~w0?d2909w0<m0;03e>;5il0:h45rs4;g>5<5s48i<7<?b:?1e`<6lh1v87j:18184e838;o63=ad82`g=z{<3m6=4={<0a4?47l279mh4>db9~w0g72909w0<m0;03a>;5il0:hi5rs4c2>5<5s48i<7<>0:?1e`<6lo1v8o=:18184e83;3n63=ad84f>{t=h91<7<t=3`3>4>d348ji769;|q6e1<72;q6>o>519f897gb20;0q~;n5;296~;5j90:4k522`g9=f=z{<k=6=4={<0a4?7>8279mh4n6:p1d1=838p1?l?:0;2?84fm3k<7p}:a983>7}::k:1=4<4=3cf>d><uz?j57>52z?1f5<61:16>lk5a89~w0gf2909w0<m0;3:0>;5il0jm6s|5``94?4|5;h;6<7:;<0ba?ge3ty>mn4?:3y>6g6=90<01?oj:6a8yv3fl3:1>v3=b182=2=::ho1;i5rs4cf>5<5s48i<7?68:?1e`<0m2wx9lh50;0x97d7283j70<ne;5e?xu2j90;6?u22c295<d<5;kn65>4}r7a5?6=:r79n=4>9b9>6dc=081v8l=:18184e83;2h63=ad8;6>{t=k91<7<t=3`3>4?b348ji76<;|q6f1<72;q6>o>518d897gb21>0q~;m5;296~;5j90:m=522`g9<0=z{<h=6=4={<0a4?7f9279mh477:p1g1=838p1?l?:0c1?84fm3237p}:b983>7}::k:1=l=4=3cf>=?<uz?i57>52z?1f5<6i<16>lk58`9~w0df2909w0<m0;3b2>;5il03n6s|5c`94?4|5;h;6<o8;<0ba?>d3ty>nn4?:3y>6g6=9h201?oj:9f8yv3el3:1>v3=b182e<=::ho14h5rs4`f>5<5s48i<7?na:?1e`<?n2wx9oh50;0x97d728ki70<ne;;3?xu2k90;6<=t=3`3>4gc348ji77=;<0ba??4348ji77;;<0ba??2348ji779;<0ba??0348ji777;<0ba??>348ji77n;<0ba??e348ji77k;<0ba??b348ji77i;<0ba?g7348ji7o>;<0ba?g5348ji7o<;<0ba?g23ty>o<4?:3y>6g6=91901?lm:d;8yv3d:3:1>v3=b182<`=::kh1j;5rs4a0>5<5s48i<7?69:?1fg<6881v8m;:18184e83;j863=bc824d=z{<i>6=4={<0a4?7fm279no4>0c9~w0e12909w0<m0;3bb>;5jk0:<n5rs4a4>5<5s48i<7?m0:?1fg<68m1v8m7:18184e83;i=63=bc824`=z{<i26=4={<0a4?7e:279no4>0g9~w0ef2909w0<m0;3a7>;5jk0:==5rs4aa>5<5s48i<7?74:?1fg<bi2wx9nm50;0x97d7282>70<mb;ga?xu2km0;6?u22c295=0<5;hi6hm4}r7`a?6=:r79n=4>869>6gd=mm1v8mi:18184e83;3463=bc8fa>{t=m:1<7<t=3`3>4>>348in7ki;|q6`4<72;q6>o>519c897de2o;0q~;k2;296~;5j90=963=ad80f>{t=m91<7<t=3`3>3`<5;kn6994}r7g0?6=:r79n=480:?1e`<3i2wx9i;50;0x97d72>;01?oj:5`8yv3c>3:1>v3=b1846>;5il0?o6s|5e594?4|5;h;6:=4=3cf>1b<uz?o47>52z?1f5<0<279mh4;e:p1a?=838p1?l?:67897gb2=l0q~;ka;296~;5j90<:63=ad864>{t=mh1<7<t=3`3>21<5;kn68?4}r7gg?6=:r79n=496:?1e`<4k2wx9ij50;0x97d72?=01?oj:2f8yv3cm3:1>v3=b185<>;5il08i6s|5ed94?4|5;h;6;74=3cf>6`<uz?n<7>52z?1f5<1i279mh4;0:p1`7=838p1?l?:7`897gb2=;0q~;j2;296~;5j90=o63=ad876>{t=l91<7<t=3`3>3c<5;kn69:4}r7f0?6=;r79mh4>7e9>6g1=m016>o751008yv3b=3:1>v3=ag80f>;5j80:=?5rs4g5>5<5s48jj7:8;<0a5?76n2wx9h950;0x97ga2=k01?l>:00b?xu2m10;6?u22`d90g=::k;1=>=4}r7f=?6=:r79mk4;c:?1f4<6;=1v8kn:18184fn3>o70<m1;301>{t=lh1<7<t=3ce>1c<5;h:6<=9;|q6af<72;q6>lh54g9>6g7=9:=0q~;jd;296~;5io0><63=b0827==z{<on6=4={<0bb?36348i=7?<9:p1``=838p1?oi:2a897d628;87p}:f183>7}::hl1?i522c39542<uz?m=7>52z?1ec<4m279n<4>149~w0`52909w0<nf;1e?84e93;::6s|5g194?4|5;km69>4=3`2>4703ty>j94?:3y>6d`=<816>o?510:8yv3a=3:1>v3=ag876>;5j80:=l5rs4d5>5<5s48jj7:;;<0a5?76k2wx9k950;0x97d72:h01?l=:031?xu2n10;6?u22c2902=::k81=<h4}r7e=?6=:r79n=4;a:?1f7<6:h1v8hn:18184e83>i70<m2;307>{t=oh1<7<t=3`3>1e<5;h96<=;;|q6bf<72;q6>o>54e9>6g4=9:?0q~;id;296~;5j90?i63=b38273=z{<ln6=4={<0a4?2a348i>7?<7:p1c`=838p1?l?:42897d528937p}90183>7}::k:19<522c0956?<uz<;=7>52z?1f5<4k279n?4>129~w3652909w0<m0;1g?84e:3;:86s|61194?4|5;h;6>k4=3`1>4723ty=<94?:3y>6g6=;o16>o<51048yv07=3:1>v3=b1874>;5j;0:=:5rs725>5<5s48i<7:>;<0a6?7602wx:=950;0x97d72=801?l=:03b?xu1810;6?u22c2901=::k81=<m4}r43=?6=:r79mi4=2:?1f0<69;1v;>n:18184fl38n70<m5;32b>{t>9h1<7<t=3cg>67<5;h>6<<n;|q54f<728:p1?ok:20897gc2:901?ok:26897gc2:?01?ok:24897gc2:=01?ok:2:897gc2;901?ok:36897gc2;?01?ok:34897gc2;=01?ok:3:897gc2;301?ok:3`897d228987p}90e83>7}::ho1>?522c49544<uz<;i7>52z?1e`<5m279n;4>1g9~w36a2909w0<ne;12?84e>3;9m6s|60294?77s48ji7==;<0ba?54348ji7=;;<0ba?52348ji7=9;<0ba?50348ji7=7;<0ba?44348ji7<;;<0ba?42348ji7<9;<0ba?40348ji7<7;<0ba?4>348ji7<m;<0a2?74;2wx:<?50;0x97ga2;801?l<:031?xu19;0;6?u22`d96`=::k91=<h4}r427?6=:r79mk4<1:?1f6<6:h1v;?;:18184fn39970<m3;307>{t>8?1<7<t=3ce>65<5;h86<=;;|q553<72;q6>lh5359>6g5=9:?0q~8>7;296~;5io08963=b28273=z{?;36=4={<0bb?51348i?7?<7:p24?=838p1?oi:25897d428937p}91`83>7}::hl1?5522c1956?<uz<:n7>52z?1ec<5;279n>4>129~w37d2909w0<nf;07?84e;3;:86s|60f94?4|5;km6?;4=3`0>4723ty==h4?:3y>6d`=:?16>o=51048yv06n3:1>v3=ag813>;5j:0:=:5rs703>5<5s48jj7<7;<0a7?7602wx:??50;0x97ga2;301?l<:03b?xu1:;0;6?u22`d96g=::k91=<m4}r417?6=:r79n=4=2:?1f1<69;1v;<;:18184e838n70<m4;32b>{t>;?1<7<t=3`3>67<5;h?6<<n;|q563<72;q6>o>5339>6g2=9:90q~8=7;296~;5j908?63=b58271=z{?836=4={<0a4?53348i87?<5:p27?=838p1?l?:27897d3289=7p}92`83>7}::k:1?;522c69561<uz<9n7>52z?1f5<4?279n94>399~w34d2909w0<m0;1;?84e<3;856s|63f94?4|5;h;6?=4=3`7>4743ty=>h4?:3y>6g6=:=16>o:51068yv05n3:1>v3=b1811>;5j=0:=85rs713>5<5s48i<7<9;<0a0?76>2wx:>?50;0x97d72;=01?l;:034?xu1;;0;6?u22c296==::k>1=<64}r407?6=:r79n=4=9:?1f1<69h1v;=;:18184e838i70<m4;32g>{t>:?1<7<t=3`e>414348ih7ll;|q573<72:q6>n>5161897da2;8=70<me;377>{t>:=1<7=t=3a1>414348ij7ll;<0aa?dd3ty=?54?:2y>6f5=9>901?m=:305?84d93;??6s|62;94?5|5;i>6<9<;<0`6?dd348h=7ll;|q57d<72:q6>n85161897e22;8=70<l4;377>{t>:h1<7=t=3a;>414348h97ll;<0`0?dd3ty=?n4?:2y>6f?=9>901?m7:305?84d?3;??6s|62f94?5|5;ii6<9<;<0`<?dd348h;7ll;|q57`<72:q6>nm5161897ee2;8=70<la;377>{t>:l1<7=t=3af>414348hn7ll;<0`e?dd3ty=8=4?:2y>6f`=9>901?mj:305?84dl3;??6s|65394?5|5;n:6<9<;<0`a?dd348hh7ll;|q507<72:q6>i<5161897b62;8=70<k0;377>{t>=91<7=t=3f7>414348o=7ll;<0g4?dd3ty=894?:2y>6a3=9>901?j;:305?84c;3;??6s|65794?5|5;n<6<9<;<0g0?dd348o?7ll;|q503<72:q6>i65161897b02;8=70<k6;377>{t>==1<7=t=3fb>414348o;7ll;<0g2?dd3ty=854?:2y>6ad=9>901?jn:305?84c13;??6s|65;94?5|5;no6<9<;<0ge?dd348o57ll;|q50d<72:q6>ik5161897bc2;8=70<kc;377>{t>=h1<7=t=3g3>414348oh7ll;<0gg?dd3ty=8n4?:2y>6`7=9>901?k?:305?84cn3;??6s|65f94?5|5;o86<9<;<0f4?dd348oj7ll;|q50`<72:q6>h:5161897c42;8=70<j2;377>{t>=l1<7=t=3g5>414348n?7ll;<0f6?dd3ty=9=4?:2y>6`1=9>901?k9:305?84b=3;??6s|64394?5|5;o26<9<;<0f2?dd348n97ll;|q517<72:q6>ho5161897c>2;8=70<j8;377>{t><91<7=t=3g`>414348n57ll;<0f<?dd3ty=994?:2y>6`b=9>901?kl:305?84bj3;??6s|64794?5|5;om6<9<;<0fg?dd348nn7ll;|q513<72:q6>k>5161897ca2;8=70<je;377>{t><=1<7=t=3d1>414348nj7ll;<0fa?dd3ty=954?:2y>6c5=9>901?h=:305?84a93;??6s|64;94?5|5;l>6<9<;<0e6?dd348m=7ll;|q51d<72:q6>k85161897`22;8=70<i4;377>{t><h1<7=t=3d;>414348m97ll;<0e0?dd3ty=9n4?:2y>6c?=9>901?h7:305?84a?3;??6s|64f94?5|5;li6<9<;<0e<?dd348m;7ll;|q51`<72:q6>km5161897`e2;8=70<ia;377>{t><l1<7=t=3df>414348mn7ll;<0ee?dd3ty=:=4?:2y>6c`=9>901?hj:305?84al3;??6s|67394?5|5:::6<9<;<0ea?dd348mh7ll;|q527<72:q6?=<5161896662;8=70=?0;377>{t>?91<7=t=227>414349;=7ll;<134?dd3ty=:94?:2y>753=9>901>>;:305?857;3;??6s|67794?5|5::<6<9<;<130?dd349;?7ll;|q523<72:q6?=65161896602;8=70=?6;377>{t>?=1<7=t=22b>414349;;7ll;<132?dd3ty=:54?:2y>75d=9>901>>n:305?85713;??6s|67;94?5|5::o6<9<;<13e?dd349;57ll;|q52d<72:q6?=k51618966c2;8=70=?c;377>{t>?h1<7=t=233>414349;h7ll;<13g?dd3ty=:n4?:2y>747=9>901>??:305?857n3;??6s|67f94?5|5:;86<9<;<124?dd349;j7ll;|q52`<72:q6?<:5161896742;8=70=>2;377>{t>?l1<7=t=235>414349:?7ll;<126?dd3ty=;=4?:2y>741=9>901>?9:305?856=3;??6s|66394?5|5:;26<9<;<122?dd349:97ll;|q537<72:q6?<o51618967>2;8=70=>8;377>{t>>91<7=t=23`>414349:57ll;<12<?dd3ty=;94?:2y>74b=9>901>?l:305?856j3;??6s|66794?5|5:;m6<9<;<12g?dd349:n7ll;|q533<72:q6??>51618967a2;8=70=>e;377>{t>>=1<7=t=201>414349:j7ll;<12a?dd3ty=;54?:2y>775=9>901><=:305?85593;??6s|66;94?5|5:8>6<9<;<116?dd3499=7ll;|q53d<72:q6??85161896422;8=70==4;377>{t>>h1<7=t=20;>414349997ll;<110?dd3ty=;n4?:2y>77?=9>901><7:305?855?3;??6s|66f94?5|5:8i6<9<;<11<?dd3499;7ll;|q53`<72:q6??m51618964e2;8=70==a;377>{t>>l1<7=t=20f>4143499n7ll;<11e?dd3ty=4=4?:2y>77`=9>901><j:305?855l3;??6s|69394?5|5:9:6<9<;<11a?dd3499h7ll;|q5<7<72:q6?><5161896562;8=70=<0;377>{t>191<7=t=217>4143498=7ll;<104?dd3ty=494?:2y>763=9>901>=;:305?854;3;??6s|69794?5|5:9<6<9<;<100?dd3498?7ll;|q5<3<72:q6?>65161896502;8=70=<6;377>{t>1=1<7=t=21b>4143498;7ll;<102?dd3ty=454?:2y>76d=9>901>=n:305?85413;??6s|69;94?5|5:9o6<9<;<10e?dd349857ll;|q5<d<72:q6?>k51618965c2;8=70=<c;377>{t>1h1<7=t=263>4143498h7ll;<10g?dd3ty=4n4?:2y>717=9>901>:?:305?854n3;??6s|69f94?5|5:>86<9<;<174?dd3498j7ll;|q5<`<72:q6?9:5161896242;8=70=;2;377>{t>1l1<7=t=265>414349??7ll;<176?dd3ty=5=4?:2y>711=9>901>:9:305?853=3;??6s|68394?5|5:>26<9<;<172?dd349?97ll;|q5=7<72:q6?9o51618962>2;8=70=;8;377>{t>091<7=t=26`>414349?57ll;<17<?dd3ty=594?:2y>71b=9>901>:l:305?853j3;??6s|68794?5|5:>m6<9<;<17g?dd349?n7ll;|q5=3<72:q6?8>51618962a2;8=70=;e;377>{t>0=1<7=t=271>414349?j7ll;<17a?dd3ty=554?:2y>705=9>901>;=:305?85293;??6s|68;94?5|5:?>6<9<;<166?dd349>=7ll;|q5=d<72:q6?885161896322;8=70=:4;377>{t>0h1<7=t=27;>414349>97ll;<160?dd3ty=5n4?:2y>70?=9>901>;7:305?852?3;??6s|68f94?5|5:?i6<9<;<16<?dd349>;7ll;|q5=`<72:q6?8m51618963e2;8=70=:a;377>{t>0l1<7=t=27f>414349>n7ll;<16e?dd3ty=m=4?:2y>70`=9>901>;j:305?852l3;??6s|6`394?5|5:<:6<9<;<16a?dd349>h7ll;|q5e7<72:q6?;<5161896062;8=70=90;377>{t>h91<7=t=247>414349==7ll;<154?dd3ty=m94?:2y>733=9>901>8;:305?851;3;??6s|6`794?5|5:<<6<9<;<150?dd349=?7ll;|q5e3<72:q6?;65161896002;8=70=96;377>{t>h=1<7=t=24b>414349=;7ll;<152?dd3ty=m54?:2y>73d=9>901>8n:305?85113;??6s|6`;94?5|5:<o6<9<;<15e?dd349=57ll;|q5ed<72:q6?;k51618960c2;8=70=9c;377>{t>hh1<7=t=253>414349=h7ll;<15g?dd3ty=mn4?:2y>727=9>901>9?:305?851n3;??6s|6`f94?5|5:=86<9<;<144?dd349=j7ll;|q5e`<72:q6?::5161896142;8=70=82;377>{t>hl1<7=t=255>414349<?7ll;<146?dd3ty=n=4?:2y>721=9>901>99:305?850=3;??6s|6c394?5|5:=26<9<;<142?dd349<97ll;|q5f7<72:q6?:o51618961>2;8=70=88;377>{t>k91<7=t=25`>414349<57ll;<14<?dd3ty=n94?:2y>72b=9>901>9l:305?850j3;??6s|6c794?4|5:=i6<9<;<1aa?b43ty=n;4?:3y>72>=9>901>lk:e18yv0e?3:1>v3<748236=:;ki1h>5rs7`;>5<5s49<>7?83:?0fg<c;2wx:o750;0x960a28=870=ma;f0?xu1jh0;6?u237a9525<5:h26i=4}r4af?6=:r78:44>729>7g>=l:1v;ll:181851>3;<?63<b68g7>{t>kn1<7<t=240>414349i:7j<;|q5f`<72;q6?;>5161896d22m90q~8mf;296~;4=m0:;>523c69`6=z{?i;6=4={<16e?70;278n>4k3:p2f7=838p1>;8:050?85e:3n87p}9c383>7}:;<>1=:=4=2`2>a5<uz<h?7>52z?014<6?:16?o>5d29~w3e32909w0=;e;347>;4io0o?6s|6b794?4|5:>i6<9<;<1ba?b43ty=o;4?:3y>71>=9>901>ok:e18yv0d?3:1>v3<448236=:;hi1h>5rs7a;>5<5s49?>7?83:?0eg<c;2wx:n750;0x965a28=870=na;f0?xu1kh0;6?u232a9525<5:k26i=4}r4`f?6=:r78?44>729>7d>=l:1v;ml:181854>3;<?63<a68g7>{t>jn1<7<t=210>414349j:7j<;|q5g`<72;q6?>>5161896g22m90q~8lf;296~;4:m0:;>523`69`6=z{?n;6=4={<11e?70;278m>4k3:p2a7=838p1><8:050?85f:3n87p}9d383>7}:;;>1=:=4=2c2>a5<uz<o?7>52z?064<6?:16?l>5d29~w3b32909w0=>e;347>;41o0o?6s|6e794?4|5:;i6<9<;<1:a?b43ty=h;4?:3y>74>=9>901>7k:e18yv0c?3:1>v3<148236=:;0i1h>5rs7f;>5<5s49:>7?83:?0=g<c;2wx:i750;0x966a28=870=6a;f0?xu1lh0;6?u231a9525<5:326i=4}r4gf?6=:r78<44>729>7<>=l:1v;jl:181857>3;<?63<968g7>{t>mn1<7<t=220>4143492:7j<;|q5``<72;q6?=>5161896?22m90q~8kf;296~;5nm0:;>523869`6=z{?o;6=4={<0ee?70;2785>4k3:p2`7=838p1?h8:050?85>:3n87p}9e383>7}::o>1=:=4=2;2>a5<uz<n?7>52z?1b4<6?:16?4>5d29~w3c32909w0<je;347>;40o0o?6s|6d794?4|5;oi6<9<;<1;a?b43ty=i;4?:3y>6`>=9>901>6k:e18yv0b?3:1>v3=e48236=:;1i1h>5rs7g;>5<5s48n>7?83:?0<g<c;2wx:h750;0x97ba28=870=7a;f0?xu1mh0;6?u22ea9525<5:226i=4}r4ff?6=:r79h44>729>7=>=l:1v;kl:18184c>3;<?63<868g7>{t>ln1<7<t=3f0>4143493:7j<;|q5a`<72;q6>i>5161896>22m90q~8jf;296~;5km0:;>523969`6=z{?l;6=4={<0`e?70;2784>4k3:p2c7=838p1?m8:050?85?:3n87p}9f383>7}::j>1=:=4=2:2>a5<uz<m?7>52z?1g4<6?:16?5>5d29~w3`32909w0<me;347>;4?o0o?6s|6g794?4|5;ho6<9<;<14a?b43ty=j;4?:04x97ge282:70<m0;341>;5io0:;8522`d9521<5;kn6<9:;<0ba?70?279mh4>799>6db=9>?01?ok:054?84fl3;<463=b08a6>;5j;0i>63=b28a6>;5j=0i>63=b48a6>;5j?0i>63=b68a6>;5j10i>63=b88a6>;5jh0i>63=bc8a6>;5jj0i>6s|6g594?7|5:=n6??m;|p6=d=838pR?6m;<0be?573ty9454?:3y]6=><5;kj6?j4}r0;3?6=:rT94:522`c96f=z{;2=6=4={_0;2>;5ih09n6s|29794?4|V;2>70<na;0:?xu50=0;6?uQ296897gf2;20q~<73;296~X50:16>lo5269~w7>52909wS<72:?1ed<5>2wx>5?50;0xZ7>6348jm7<:;|q1<5<72;qU>5>4=3cb>72<uz8<j7>52z\13c=::hk1>>5rs3;0>5<5sW82?63=a`80<>{t:081<7<t^3;1?84fi39<7p}=9083>7}Y:0;01?on:248yv4>83:1>vP=919>6dg=;<1v?6i:181[4?n279ml4<4:p6=c=838pR?6j;<0be?543ty94i4?:3y]6=b<5;kj6><4}r0;g?6=:rT94n522`c974=z{;226=4={_0;=>;5ih09i6s|26g94?4|V;=n70<na;01?xu5i80;6?uQ2`3897gf2=30q~<6e;296~X51l16>lo5479~w7?c2909wS<6d:?1ed<3=2wx>4m50;0xZ7?d348jm7:;;|q1=g<72;qU>4l4=3cb>14<uz82m7>52z\1=d=::hk18<5rs3;:>5<5sW82563=a`874>{t:021<7<t^3;;?84fi39m7p}=9683>7}Y:0=01?on:2g8yv4>>3:1>vP=979>6dg=;m1v?7::181[4>=279ml4<c:p6d?=838pR?o6;<0be?363ty9m54?:3y]6d><5;kj68>4}r0b3?6=:rT9m:522`c90c=z{;k=6=4={_0b2>;5ih0?i6s|2`794?4|V;k>70<na;6g?xu5i=0;6?uQ2`6897gf2=i0q~<n3;296~X5i:16>lo54c9~w7g52909wS<n2:?1ed<3i2wx>4h50;0xZ7?a348jm7:8;|q1=1<72;qU>4:4=3cb>6d<uz9h<7>52z\0g5=::hk1ni5rs2`e>5<5sW9ij63=a`8a6>{t<8;1<7<t^532?84fi38=46s|41a94?4|V=:h70<na;057>{t<9k1<7<t^52b?84fi38==6s|41;94?4|V=:270<na;054>{t<921<7<t^52;?84fi38>j6s|41494?4|V=:=70<na;06`>{t<9?1<7<t^526?84fi38>o6s|41694?4|V=:?70<na;06f>{t<991<7<t^520?84fi38>m6s|41094?4|V=:970<na;06=>{t<9;1<7<t^522?84fi38>46s|41294?4|V=:;70<na;063>{t;ol1<7<t^2de?84fi38>:6s|3gg94?4|V:ln70<na;061>{t;on1<7<t^2dg?84fi38>86s|3g`94?4|V:li70<na;066>{t;ok1<7<t^2db?84fi38>=6s|3g;94?4|V:l270<na;064>{t;o21<7<t^2d;?84fi38?j6s|3g594?4|V:l<70<na;07a>{t;o<1<7<t^2d5?84fi38?h6s|3g794?4|V:l>70<na;07g>{t;o>1<7<t^2d7?84fi38?n6s|3g194?4|V:l870<na;07e>{t;o81<7<t^2d1?84fi38?56s|3g294?4|V:l;70<na;073>{t;ll1<7<t^2ge?84fi38?:6s|3dg94?4|V:on70<na;071>{t;ln1<7<t^2gg?84fi38?86s|3da94?4|V:oh70<na;077>{t;lh1<7<t^2ga?84fi38?>6s|3dc94?4|V:oj70<na;075>{t;l31<7<t^2g:?84fi38?<6s|3d:94?4|V:o370<na;00b>{t;l=1<7<t^2g4?84fi388i6s|3d794?4|V:o>70<na;00g>{t;l>1<7<t^2g7?84fi388n6s|3d194?4|V:o870<na;00e>{t;l81<7<t^2g1?84fi38856s|3d394?4|V:o:70<na;00<>{t;l:1<7<t^2g3?84fi388;6s|3ed94?4|V:nm70<na;002>{t;mo1<7<t^2ff?84fi38896s|3ef94?4|V:no70<na;000>{t;mi1<7<t^2f`?84fi388?6s|3ec94?4|V:nj70<na;005>{t;m31<7<t^2f:?84fi388<6s|3e:94?4|V:n370<na;01b>{t;m=1<7<t^2f4?84fi389i6s|3e494?4|V:n=70<na;01`>{t;m?1<7<t^2f6?84fi389o6s|3e694?4|V:n?70<na;01f>{t;m91<7<t^2f0?84fi389m6s|3e094?4|V:n970<na;01=>{t;m;1<7<t^2f2?84fi38946s|40294?4|V=;;70<na;053>{t<9l1<7<t^52e?84fi38=:6s|41g94?4|V=:n70<na;051>{t<9n1<7<t^52g?84fi38=86s|41594?4|V=:<70<na;06a>{t;oi1<7<t^2d`?84fi38>?6s|3g394?4|V:l:70<na;07<>{t;l<1<7<t^2g5?84fi388h6s|3e`94?4|V:ni70<na;006>{t;m:1<7<t^2f3?84fi389;6srn0271?6=>rB9;<5rn0272?6=>rB9;<5rn0273?6=>rB9;<5rn027<?6=>rB9;<5rn027=?6=>rB9;<5rn027e?6=>rB9;<5rn027f?6=>rB9;<5rn027g?6=>rB9;<5rn027`?6=>rB9;<5rn027a?6=>rB9;<5rn027b?6=>rB9;<5rn0264?6=>rB9;<5rn0265?6=>rB9;<5rn0266?6=>rB9;<5rn0267?6=>rB9;<5rn0260?6=>rB9;<5rn0261?6=>rB9;<5rn0262?6=>rB9;<5rn0263?6=>rB9;<5rn026<?6=>rB9;<5rn026=?6=>rB9;<5rn026e?6=:9qC>:?4}o331g<72=qC>:?4}o331f<72=qC>:?4}o331a<72=qC>:?4}o331`<72=qC>:?4}o331c<72=qC>:?4}o3325<72=qC>:?4}o3324<72=qC>:?4}o3327<72=qC>:?4}o3326<72=qC>:?4}o3321<72=qC>:?4}o3320<72=qC>:?4}o3323<72=qC>:?4}o3322<72=qC>:?4}o332=<72=qC>:?4}o332<<72=qC>:?4}o332d<72=qC>:?4}o332g<72=qC>:?4}o332f<72=qC>:?4}o332a<72=qC>:?4}o332`<72=qC>:?4}o332c<72;qC>:?4}o3335<72;qC>:?4}o3334<72;qC>:?4}o3337<72;qC=:<4H352?xh68>91<7<tH051?M4092we==9;:181M70:2B9;<5rn0241?6=:rB:;?5G2638yk77??0;6?uG1608L7163td:<:950;0xL4153A8<=6sa115;>5<5sA;<>6F=709~j46013:1>vF>739K627<ug;;;l4?:3yK524<@;=:7p`>06`94?4|@8=97E<81:m551d2909wE?82:J134=zf8:<h7>52zJ237=O:>;0qc??7d83>7}O9>80D?9>;|l242`=838pD<9=;I045>{i992;6=4={I346>N5?81vb<>71;296~N6?;1C>:?4}o33<7<72;qC=:<4H352?xh68191<7<tH051?M4092we==6;:181M70:2B9;<5rn02;1?6=:rB:;?5G2638yk770?0;6?uG1608L7163td:<5950;0xL4153A8<=6sa11:;>5<5sA;<>6F=709~j46?13:1>vF>739K627<ug;;4l4?:3yK524<@;=:7p`>09`94?4|@8=97E<81:m55>d2909wE?82:J134=zf8:3h7>52zJ237=O:>;0qc??8d83>7}O9>80D?9>;|l24=`=838pD<9=;I045>{i993;6=4={I346>N5?81vb<>61;296~N6?;1C>:?4}o33=7<72;qC=:<4H352?xh68091<7<tH051?M4092we==7;:181M70:2B9;<5rn02:1?6=:rB:;?5G2638yk771?0;6?uG1608L7163td:<4950;0xL4153A8<=6sa11;;>5<5sA;<>6F=709~j46>13:1>vF>739K627<ug;;5l4?:3yK524<@;=:7p`>08`94?4|@8=97E<81:m55?d2909wE?82:J134=zf8:2h7>52zJ237=O:>;0qc??9d83>7}O9>80D?9>;|l24<`=838pD<9=;I045>{i99k;6=4={I346>N5?81vb<>n1;296~N6?;1C>:?4}o33e7<72;qC=:<4H352?xh68h91<7<tH051?M4092we==o;:181M70:2B9;<5rn02b1?6=:rB:;?5G2638yk77i?0;6?uG1608L7163td:<l950;0xL4153A8<=6sa11c;>5<5sA;<>6F=709~j46f13:1>vF>739K627<ug;;ml4?:3yK524<@;=:7p`>0``94?4|@8=97E<81:m55gd2909wE?82:J134=zf8:jh7>52zJ237=O:>;0qc??ad83>7}O9>80D?9>;|l24d`=838pD<9=;I045>{i99h;6=4={I346>N5?81vb<>m1;296~N6?;1C>:?4}of`g?6=lrB9;<5rneag>5<4sA;<>6F=709~jaeb2908wE?82:J134=zfmim6=4<{I346>N5?81vbij?:180M70:2B9;<5rnef2>5<4sA;<>6F=709~jab52908wE?82:J134=zfmn86=4<{I346>N5?81vbij;:180M70:2B9;<5rnef6>5<4sA;<>6F=709~jab12908wE?82:J134=zfmn<6=4<{I346>N5?81vbij7:180M70:2B9;<5rnef:>5<4sA;<>6F=709~jabf2908wE?82:J134=zfmni6=4<{I346>N5?81vbijl:180M70:2B9;<5rnefg>5<4sA;<>6F=709~jabb2908wE?82:J134=zfmnm6=4<{I346>N5?81vbik?:180M70:2B9;<5rneg2>5<4sA;<>6F=709~jac52908wE?82:J134=zfmo86=4<{I346>N5?81vbik;:180M70:2B9;<5rneg6>5<4sA;<>6F=709~jac12908wE?82:J134=zfmo<6=4<{I346>N5?81vbik7:180M70:2B9;<5rneg:>5<4sA;<>6F=709~jacf2908wE?82:J134=zfmoi6=4<{I346>N5?81vbikl:180M70:2B9;<5rnegg>5<4sA;<>6F=709~jacb2908wE?82:J134=zfmom6=4<{I346>N5?81vbih?:180M70:2B9;<5rned2>5<4sA;<>6F=709~ja`52908wE?82:J134=zfml86=4<{I346>N5?81vbih;:180M70:2B9;<5rned6>5<4sA;<>6F=709~ja`12908wE?82:J134=zfmlm6=4={I045>{im9:1<7<tH352?xhb880;6?uG2638ykc7:3:1>vF=709~j`642909wE<81:ma52=838pD?9>;|lf40<72;qC>:?4}og32?6=:rB9;<5rnd24>5<5sA8<=6sae1:94?4|@;=:7p`j0883>7}O:>;0qck?a;296~N5?81vbh>m:181M4092wei=m50;0xL7163tdn<i4?:3yK627<ugo;i7>52zJ134=zfl:m6=4={I045>{im8:1<7<tH352?xhb980;6?uG2638ykc6:3:1>vF=709~j`742909wE<81:ma42=838pD?9>;|lf50<72;qC>:?4}og22?6=:rB9;<5rnd34>5<5sA8<=6sae0:94?4|@;=:7p`j1883>7}O:>;0qck>a;296~N5?81vbh?m:182M4092wei<m50;3xL7163tdn=i4?:0yK627<ugo:i7>51zJ134=zfl;m6=4>{I045>{im;:1<7?tH352?xhb:80;6<uG2638ykc5:3:1=vF=709~j`44290:wE<81:ma72=83;pD?9>;|lf60<728qC>:?4}og12?6=9rB9;<5rnd04>5<6sA8<=6sae3:94?7|@;=:7p`j2883>4}O:>;0qck=a;295~N5?81vbh<m:182M4092wei?m50;3xL7163tdn>i4?:0yK627<ugo9i7>51zJ134=zfl8m6=4>{I045>{im::1<7?tH352?xhb;80;6<uG2638ykc4:3:1=vF=709~j`54290:wE<81:ma62=83;pD?9>;|lf70<728qC>:?4}og02?6=9rB9;<5rnd14>5<6sA8<=6sae2:94?7|@;=:7p`j3883>4}O:>;0qck<a;295~N5?81vbh=m:182M4092wei>m50;3xL7163tdn?i4?:0yK627<ugo8i7>51zJ134=zfl9m6=4>{I045>{im=:1<7?tH352?xhb<80;6<uG2638ykc3:3:1=vF=709~j`24290:wE<81:ma12=83;pD?9>;|lf00<728qC>:?4}og72?6=9rB9;<5rnd64>5<6sA8<=6sae5:94?7|@;=:7p`j4883>4}O:>;0qck;a;295~N5?81vbh:l:182M4092wei9j50;3xL7163tdn8h4?:0yK627<ugo?j7>51zJ134=zfl?;6=4>{I045>{im<;1<7?tH352?xhb=;0;6<uG2638ykc2;3:1=vF=709~j`33290:wE<81:ma03=83;pD?9>;|lf13<728qC>:?4}og63?6=9rB9;<5rnd7;>5<6sA8<=6sae4;94?7|@;=:7p`j5`83>4}O:>;0qck:b;295~N5?81vbh;l:182M4092wei8j50;3xL7163tdn9h4?:0yK627<ugo>j7>51zJ134=zfl<;6=4>{I045>{im?;1<7?tH352?xhb>;0;6<uG2638ykc1;3:1=vF=709~j`03290:wE<81:ma33=83;pD?9>;|lf23<728qC>:?4}og53?6=9rB9;<5rnd4;>5<6sA8<=6sae7;94?7|@;=:7p`j6`83>4}O:>;0qck9b;295~N5?81vbh8l:182M4092wei;j50;3xL7163tdn:h4?:0yK627<ugo=j7>51zJ134=zfl=;6=4>{I045>{im>;1<7?tH352?xhb?;0;6<uG2638ykc0;3:1=vF=709~j`13290:wE<81:ma23=83;pD?9>;|lf33<728qC>:?4}og43?6=9rB9;<5rnd5;>5<6sA8<=6sae6;94?7|@;=:7p`j7`83>4}O:>;0qck8b;295~N5?81vbh9l:182M4092wei:j50;3xL7163tdn;h4?:0yK627<ugo<j7>51zJ134=zfl2;6=4>{I045>{im1;1<7?tH352?xhb0;0;6<uG2638ykc?;3:1=vF=709~j`>3290:wE<81:ma=3=83;pD?9>;|lf<3<728qC>:?4}og;3?6=9rB9;<5rnd:;>5<6sA8<=6sae9;94?7|@;=:7p`j8`83>4}O:>;0qck7b;295~N5?81vbh6l:182M4092wei5j50;3xL7163tdn4h4?:0yK627<ugo3j7>51zJ134=zfl3;6=4>{I045>{im0;1<7?tH352?xhb1;0;6<uG2638ykc>;3:1=vF=709~j`?3290:wE<81:ma<3=83;pD?9>;|lf=3<728qC>:?4}og:3?6=9rB9;<5rnd;;>5<6sA8<=6sae8;94?7|@;=:7p`j9`83>4}O:>;0qcknc;295~N5?81vbhok:182M4092weilk50;3xL7163tdnmk4?:0yK627<ugoi<7>51zJ134=zflh:6=4>{I045>{imk81<7?tH352?xhbj:0;6<uG2638ykce<3:1=vF=709~j`d2290:wE<81:mag0=83;pD?9>;|lff2<728qC>:?4}oga<?6=9rB9;<5rnd`:>5<6sA8<=6saecc94?7|@;=:7p`jbc83>4}O:>;0qckmc;295~N5?81vbhlk:182M4092weioh50;3xL7163tdno=4?:0yK627<ugoh=7>51zJ134=zfli96=4>{I045>{imj91<7?tH352?xhbk=0;6<uG2638ykcd=3:1=vF=709~j`e1290:wE<81:maf1=83;pD?9>;|lfg=<728qC>:?4}og`=?6=9rB9;<5rndab>5<6sA8<=6saeb`94?7|@;=:7p`jcb83>4}O:>;0qckld;295~N5?81vbhmj:182M4092weinh50;3xL7163tdnh=4?:0yK627<ugoo=7>51zJ134=zfln96=4>{I045>{imm91<7?tH352?xhbl=0;6<uG2638ykcc=3:1=vF=709~j`b1290:wE<81:maa1=83;pD?9>;|lf`=<728qC>:?4}ogg=?6=9rB9;<5rndfb>5<6sA8<=6saee`94?7|@;=:7p`jdb83>4}O:>;0qckkd;295~N5?81vbhjj:182M4092weiih50;3xL7163tdni=4?:0yK627<ugon=7>51zJ134=zflo96=4>{I045>{iml91<7?tH352?xhbm=0;6<uG2638ykcb=3:1=vF=709~j`c1290:wE<81:ma`1=83;pD?9>;|lfa=<728qC>:?4}ogf=?6=9rB9;<5rndgb>5<6sA8<=6saed`94?7|@;=:7p`jeb83>4}O:>;0qckjd;295~N5?81vbhkj:182M4092weihh50;3xL7163tdnj=4?:0yK627<ugom=7>51zJ134=zfll96=4>{I045>{imo91<7?tH352?xhbn=0;6<uG2638ykca=3:1=vF=709~j``1290:wE<81:mac1=83;pD?9>;|lfb=<728qC>:?4}oge=?6=9rB9;<5rnddb>5<6sA8<=6saeg`94?7|@;=:7p`jfb83>4}O:>;0qckid;295~N5?81vbhhj:182M4092weikh50;3xL7163tdm<=4?:0yK627<ugl;=7>51zJ134=zfo:96=4>{I045>{in991<7?tH352?xha8=0;6<uG2638yk`7=3:1=vF=709~jc61290:wE<81:mb51=83;pD?9>;|le4=<728qC>:?4}od3=?6=9rB9;<5rng2b>5<6sA8<=6saf1`94?7|@;=:7p`i0b83>4}O:>;0qch?d;295~N5?81vbk?i:182M4092wej?>50;3xL7163tdm><4?:0yK627<ugl9>7>51zJ134=zfo886=4>{I045>{in;>1<7?tH352?xha:<0;6<uG2638yk`5>3:1=vF=709~jc40290:wE<81:mb7>=83;pD?9>;|le6<<728qC>:?4}od1e?6=9rB9;<5rng0a>5<6sA8<=6saf3a94?7|@;=:7p`i2e83>4}O:>;0qch=e;295~N5?81vbk<i:182M4092wej>>50;3xL7163tdm4?4?:0yK627<ugl3?7>51zJ134=zfo2?6=4>{I045>{in1?1<7?tH352?xha0?0;6<uG2638yk`??3:1=vF=709~jc>?290:wE<81:mb=?=83;pD?9>;|le<d<728qC>:?4}od;f?6=9rB9;<5rng:`>5<6sA8<=6saf9f94?7|@;=:7p`i8d83>4}O:>;0qch7f;295~N5?81vbk7?:182M4092wej4?50;3xL7163tdm5?4?:0yK627<ugl2?7>51zJ134=zfo3?6=4>{I045>{in0?1<7?tH352?xha1?0;6<uG2638yk`>?3:1=vF=709~jc??290:wE<81:mb<?=83;pD?9>;|le=d<728qC>:?4}od:f?6=9rB9;<5rng;`>5<6sA8<=6saf8f94?7|@;=:7p`i9d83>4}O:>;0qch6f;295~N5?81vbko?:182M4092wejl?50;3xL7163tdmm?4?:0yK627<uglj?7>51zJ134=zfok?6=4>{I045>{inh?1<7?tH352?xhai?0;6<uG2638yk`f?3:1=vF=709~jcg?290:wE<81:mbd?=83;pD?9>;|leed<728qC>:?4}odbf?6=9rB9;<5rngc`>5<6sA8<=6saf`f94?7|@;=:7p`iad83>4}O:>;0qchnf;295~N5?81vbkl?:182M4092wejo?50;3xL7163tdmn?4?:0yK627<ugli?7>51zJ134=zfoh?6=4>{I045>{ink?1<7?tH352?xhaj?0;6<uG2638yk`e?3:1=vF=709~jcd?290:wE<81:mbg?=83;pD?9>;|lefd<728qC>:?4}odaf?6=9rB9;<5rng``>5<6sA8<=6safcf94?7|@;=:7p`ibd83>4}O:>;0qchmf;295~N5?81vbkm?:182M4092wejn?50;3xL7163tdmo?4?:0yK627<uglh?7>51zJ134=zfoi?6=4>{I045>{inj?1<7?tH352?xhak?0;6<uG2638yk`d?3:1=vF=709~jce?290:wE<81:mbf?=83;pD?9>;|legd<728qC>:?4}od`f?6=9rB9;<5rnga`>5<6sA8<=6safbf94?7|@;=:7p`icd83>4}O:>;0qchlf;295~N5?81vbkj?:182M4092weji?50;3xL7163tdmh?4?:0yK627<uglo?7>51zJ134=zfon?6=4>{I045>{inm?1<7?tH352?xhal?0;6<uG2638yk`c?3:1=vF=709~jcb?290:wE<81:mba?=83;pD?9>;|le`d<728qC>:?4}odgf?6=9rB9;<5rngf`>5<6sA8<=6safef94?7|@;=:7p`idd83>4}O:>;0qchkf;295~N5?81vbkk?:182M4092wejh?50;3xL7163tdmi?4?:0yK627<ugln?7>51zJ134=zfoo?6=4>{I045>{inl?1<7?tH352?xham?0;6<uG2638yk`b?3:1=vF=709~jcc?290:wE<81:mb`?=83;pD?9>;|lead<728qC>:?4}odff?6=9rB9;<5rngg`>5<6sA8<=6safdf94?7|@;=:7p`ied83>4}O:>;0qchjf;295~N5?81vbkh?:182M4092wejk?50;3xL7163tdmj?4?:0yK627<uglm?7>51zJ134=zfol?6=4>{I045>{ino?1<7?tH352?xhan?0;6<uG2638yk`a?3:1=vF=709~jc`?290:wE<81:mbc?=83;pD?9>;|lebd<728qC>:?4}odef?6=9rB9;<5rngd`>5<6sA8<=6safgf94?7|@;=:7p`ifd83>4}O:>;0qchif;295~N5?81vb<>?0;295~N5?81vb<>?1;295~N5?81vb<>?2;295~N5?81vb<>?3;295~N5?81vb<>?4;295~N5?81vb<>?5;295~N5?81vb<>?6;295~N5?81vb<>?7;295~N5?81vb<>?8;295~N5?81vb<>?9;295~N5?81vb<>?a;295~N5?81vb<>?b;295~N5?81vb<>?c;295~N5?81vb<>?d;295~N5?81vb<>?e;295~N5?81vb<>?f;295~N5?81vb<>>0;295~N5?81vb<>>1;295~N5?81vb<>>2;295~N5?81vb<>>3;295~N5?81vb<>>4;295~N5?81vb<>>5;295~N5?81vb<>>6;295~N5?81vb<>>7;295~N5?81vb<>>8;295~N5?81vb<>>9;295~N5?81vb<>>a;295~N5?81vb<>>b;295~N5?81vb<>>c;295~N5?81vb<>>d;295~N5?81vb<>>e;295~N5?81vb<>>f;295~N5?81vb<>=0;295~N5?81vb<>=1;295~N5?81vb<>=2;295~N5?81vb<>=3;295~N5?81vb<>=4;295~N5?81vb<>=5;295~N5?81vb<>=6;295~N5?81vb<>=7;295~N5?81vb<>=8;295~N5?81vb<>=9;295~N5?81vb<>=a;295~N5?81vb<>=b;295~N5?81vb<>=c;295~N5?81vb<>=d;295~N5?81vb<>=e;295~N5?81vb<>=f;295~N5?81vb<><0;295~N5?81vb<><1;295~N5?81vb<><2;295~N5?81vb<><3;295~N5?81vb<><4;295~N5?81vb<><5;295~N5?81vb<><6;295~N5?81vb<><7;295~N5?81vb<><8;295~N5?81vb<><9;295~N5?81vb<><a;295~N5?81vb<><b;295~N5?81vb<><c;295~N5?81vb<><d;295~N5?81vb<><e;295~N5?81vb<><f;295~N5?81vb<>;0;295~N5?81vb<>;1;295~N5?81vb<>;2;295~N5?81vb<>;3;295~N5?81vb<>;4;295~N6?;1C>:?4}|~DEE|9:;i6i=7b832axFGJr:vLM^t}AB \ No newline at end of file +$`fe44<,[o}e~g`n;"2*73>(-80!<?40593456638;97<95IORVP?BNF5;=6=0>4:34>JSSX\^1HB[[<0494;773821EC^ZT;C?52<768:0=54FNQWW>G:6?3:5=>5>8;KMTPR=JJCE0<950?33?4>=AGZ^X7J31683:44<910BB][[:EKM841=87;>7<65OTVSQQ<EKF__0<950?37?4>=G\^[YY4KOTV?52<768:0=7GAPTV9@LH;83:5=?5>:NWWTPR=LF__0=4?>09155=593CE\XZ5A=03>586828:6D@_UU8A876=87;;7??5IORVP?g;:90;2<>4208JJUSS2k69<7>113902?OIX\^1HD@33483:42<;?0DYY^ZT;FLQQ:4=3:5=<5<6;MVPUSS2D6897>11197<?OIX\^1O1:8:1<27>2?2@D[YY4_CHL?02<768;0854@UURVP?V;<>0;2<;4498LQQVR\3ZHCXZ34683:7=218:097GAPTV9@LH;<3:5=?5::NWWTPR=LF__094?>595=D333?3H?959C81f?3ukp=?hj==4,025>172F__\XZ5S=4:>58692=;6B[[PTV9w90>294?7:67709;56=?2@D[YY4@PLMGA91=8780M=;4A1PFC7=F9<1J=_KH2:C16>G4<2K2M;:4A=2=1>G;994>7L2>1?78E9756<1J0<=15:C?51823H6:93;4A=35:2=F48=1<3;4A=34:0=F482596O318<7?D:66>1J0?>50?78E9476=1J0?0;;@>0:1=F4=4?7L2:>59B83833H6<295N<9<7?D:>6=1JM48>;C78F5TBO<1I=_KH4:@?4;3<J5;;285M<03=1>D;9;4>7O2>3?78F9736<1I0<;15:@?53803K6:;7>15:@?52823K6:43;4B=3::1=E484<7O2=0;2=1>D;:94?7O2=>59A86833K6?295M<4<7?G:16=1I0:0;;C>;:1=E404<7OMFN=2=<>DDAG6:<364BBKM847902HHEC2>2?:8FFOI489546LLIO>20;><JJCE0<;18:@@MK:6>7k0NNGA<0594;><JJCE0<917:@@MK:66>1IOD@32?58FFOI4:4<7OMFN=6=3>DDAG6>2:5MCHL?2;1<JJCE0:08;CAJJ9>9?2HHEC26>99AGJSS49427OM@UU>24;?<JJE^X1?>>89AGJSS488556LLOTV?568>3KIDYY2>4?;8FFIR\5;>245MCNWW8409j2HHCXZ31683:<=EKF__0<918:@@KPR;9720NNAZT=0=<>DDG\^7?364BBMVP92902HHCXZ35?:8FFIR\5<546LLOTV?3;><JJE^X1618:@@KPR;1720NX]PIODL2>D^XHYX=6M;;B>3:0=D48:596M310<6?F:6:7?0O1?<>49@8429=2I7=80:;B>22;3<K5;<285L<0:=1>E;904?7N2>>49@8769=2I7><0:;B>16;3<K588285L<36=1>E;:<4>7N2=6?78G9406<1H0?615:A?6<833J69285L<22=1>E;;84>7N2<2?78G9546<1H0>:15:A?70823J68:3;4C=14:0=D4:2596M338<7?F:46<1H09>15:A?04823J6?>3;4C=60:0=D4=>596M344<6?F:3>7=0O1:8:1<6?F:3?7>0O1:14:A?1;2<K5<586M37?68G9>9<2I75394C@PQ]LHf3JKY^TGARDEb?FGUZPCE_HB7;BCQV\IR\01HM_\VOTVEf>EFZ[SDYY\JG59@G<053JO87NKN3:AFF6=DMJ30OHMNRS[JJ6=DMM90OHC7;BGLTHICM:1HI]?=;BJFGNYKAJOE_HQ[YQG1?FO43JF@86MCK148GIM609<0OAE=7178GIM5P11H@F<W1926?FJL19?0OAEN169@HNG6L;=0OAEN1E64?FJLI8N396MCKC36?FJLK8?0OAEK149@HNBQk2IGGIXPDHTJ@@3<KEAMT55LLJD[5=6>3JEFADZ[EE37?FIUMVMNBH\NTHMM[LHAG>1H^HO[EE68GWC@92N?7I2?>49G8469=2N7=<0:;E>26;3<L5;8285K<06=1>B;9<4>7I2>6?58@970294>7I2>7?68@979<2N7>3:4D=1=0>B;<7>0H1;14:F?2;2<L5=586J38?68@9?9:2NB46JFN=294;0<L@D7<394DHL?55803MCE0<?17:FJJ9756>1OEC2>3?58@LH;9=4<7IGA<07==>BNF5;=6=08;EKM840912NBB1?8:1<4?AOI48=5;6JFN=3;:2=CAG6:5384DHL?5;1<L@D7>=08;EKM8779?2NBB1<=>69GMK:5;7=0HD@325<4?AOI4;?5;6JFN=05:2=CAG69;394DHL?6=803MCE0?716:FJJ949?2NBB1=?>69GMK:497=0HD@333<4?AOI4:95;6JFN=17:<=CAG6897>17:FJJ9526?1OEC2<>99GMK:3294=7IGA<5<5?AOI4<4=7IGA<7<5?AOI4>4=7IGA<9<5?AOI40427IAZT=294;1<LF__0=07;EMVP977611OCXZ310<;?AIR\5;9255KOTV?568?3ME^X1?;>99GKPR;9<4j7IAZT=35>58?3ME^X1?9>`9GKPR;9>0;255KOTV?528?3ME^X1?7>99GKPR;904<7IAZT=3=<>BH]]69<364DNWW877902NDYY2=2?:8@JSS4;9546J@UU>10;><LF__0?;18:FLQQ:5>720HB[[<35=<>BH]]694364DNWW87?9?2NDYY2=>99GKPR;;9437IAZT=12:==CG\^7??07;EMVP954611OCXZ335<b?AIR\59>6=07;EMVP9526>1OCXZ33?;8@JSS4=0;2:5KOTV?0;1<LF__0808;EMVP909?2NDYY28>69GKPR;07=0HB[[<8<7?ATBO>1O_]:7A018BAC33ONYI95IDRG2?B5<OGN:7D<4I108M44<A;80E>74IOKWWQGSM:1BB[<4LH48HJGCMM<0@BMDEE58HJANKHF?7A[[259OQQ533E__895B<1<6?H:687?0A1?>>49N8449=2G7=>0:;L>20;3<E5;>285B<04=1>K;9>4>7@2>8?78I97>6=1F0<0:;L>14;3<E58:285B<30=1>K;::4>7@2=4?78I9426<1F0?815:O?62823D6943;4M=0::1=J4;4>7@2<0?78I9566<1F0><15:O?76823D688394M=16>5823D6893:4M=1=0>K;<7>0A1;14:O?2;2<E5=586C38?68I9?9<2GYIJ;4MTZ@]f=JiceyZh||inl`?Hoig{\n~~g`n69MAQQHZB=0BBCJRFG2?J1<GYGDHH;6;NRNKAC;8730C]C@DD>2:<=HXDEOI1<19:MSIJBB4:427B^BOEG?0;?<GYGDHH2:>89LTHICM5<5n6A_MNFF82<7601D\@AKE=5==>IWEFNN^HI>7:MSPLKNRLU[^DCFTHTFWZH@Kh1Dnk{|c`vlv4=Wk2Z%>=?<1130[I2<X5:596^311<6?U:697?0\1?=>49S8459=2Z7=90:;Q>21;3<X5;=285_<05=1>V;914>7]2>9?68T979=2Z7>=0:;Q>15;3<X589285_<31=1>V;:=4>7]2=5?78T9416<1[0?915:R?6=823Y6953:4P=0=1>V;;94>7]2<1?78T9556<1[0>=15:R?71823Y6893;4P=15:0=W4:=596^339<6?U:417>0\1=15:R?05823Y6?=3;4P=61:0=W4=9596^345<6?U:3=7?0\1:9>69S811=87?0\1:8>59S81833Y6>295_<7<7?U:06=1[050;;Q>::1=WI[^<7]MFN=2=<>VDAG6:<364PBKM847902ZHEC2>2?:8TFOI489546^LIO>20;><XJCE0<;18:R@MK:6>720\NGA<05=<>VDAG6:4364PBKM84?9?2ZHEC2>>99SGLH;:9437]MFN=02:==WK@D7>?07;QAJJ944611[OD@325<;?UENF58>255_CHL?638?3YIBB1<8>99SGLH;:1437]MFN=0::2=WK@D7>364PBKM866902ZHEC2<1?:8TFOI4:8546^LIO>07;><XJCE0>:18:R@MK:4=720\NGA<24=<>VDAG68;364PBKM86>902ZHEC2<9?58TFOI4:437]MFN=63:==WK@D78<07;QAJJ925611[OD@342<;?UENF5>?255_CHL?008?3YIBB1:9>`9SGLH;<>0;255_CHL?02803YIBB1:17:R@MK:26>1[OD@36?58TFOI4>4<7]MFN=:=3>VDAG62255_CNWW858>3YIDYY2>0?;8TFIR\5;:245_CNWW844912ZHCXZ312<:?UEH]]6:8374PBMVP972601[OB[[<04==>VDG\^7=:06;QALQQ:60730\NAZT=3::==WKF__0<06;QALQQ:58730\NAZT=02:<=WKF__0?<19:R@KPR;::427]M@UU>10;?<XJE^X1<:>89SGJSS4;<556^LOTV?628>3YIDYY2=8?;8TFIR\582255_CNWW878>3YIDYY2<0?;8TFIR\59:245_CNWW864912ZHCXZ332<:?UEH]]688374PBMVP952601[OB[[<24==>VDG\^7?:06;QALQQ:40730\NAZT=1::==WKF__0>06;QALQQ:38730\NAZT=62:<=WKF__09<19:R@KPR;<:427]M@UU>70;?<XJE^X1::>89SGJSS4=<5n6^LOTV?02<7601[OB[[<55=<>VDG\^78364PBMVP93902ZHCXZ36?:8TFIR\5=546^LOTV?<;><XJE^X171a:RJJZDR[@NSn6^FN^@VWKGJM=1[^HI9;QQGKKC63X90]<;>;S68VVRF<2XXXO:4RRV@e>TT\JKY^TGA4:PPPA2<ZZ^F56\\TNRNKAC33[Y_\:5]SUVZT@7<[=1X0=0:;R>24;3<[5;:285\<00=1>U;9:4>7^2>4?78W9726<1X0<815:Q?52823Z6:43;4S=3::1=T484>7^2=0?78W9466<1X0?<15:Q?66823Z6983;4S=06:0=T4;<596]326<6?V:507?0_1<6>59P87823Z68<3;4S=12:0=T4:8596]332<6?V:4<7?0_1=:>49P8609=2Y7?:0:;R>0<;3<[592295\<2<6?V:387?0_1:>>49P8149=2Y78>0:;R>70;3<[5>>285\<54=1>U;<>4>7^2;8?78W92>6=1X090:;R>64;3<[5?:285\<40=1>U;=:4>7^2:4?78W9326<1X08815:Q?12823Z6>43;4S=7::1=T4<4>7^290?78W9066<1X0;<15:Q?26823Z6=83;4S=46:0=T4?<596]366<6?V:107=0_186:1<6?V:117>0_1814:Q?3;2<[52586]39?68WFJU981XD_KH_DZWVDESWGMHi6]GRDE\ILHX[@PN46]DIQ]SMK0<[F_YOH94SSN@53C13Z^JXX]7;RWAPWGD\=1XUCM;;U[SAf=R[LXTZD]FBMG0?SED12\BIZQ[YQG2`>^ND@DS!UJM 1,2$VRRJ):%=-O\CHK6?]IUKP<0T^ZCIC`8\ZEHZLUBBKA9;Yfa[Lba3QncS]|fmWgqwlii991Sh`QBakmqR`ttafd:<6Vkm^OjjjtQm{ybcc=4Xrv0?\ct<2k7<3;4a=33:0=f48;596o313<6?d:6;7?0m1?;>49b8439=2k7=;0:;`>23;3<i5;3285n<0;=0>g;97=0m1<?:1<6?d:587>0m1<14:c?7;2<i5>586o35?68e909<2k7;3:4a=:=0>g;17>0n1>15:`?55823k6:=3;4b=31:0=e489596l315<6?g:6=7?0n1?9>49a8419=2h7=50:;c>2=;2<j5;5;6l32183:0=e4;:586l32?68f959<2h783:4b=7=0>d;>7>0n1914:`?<;2<j535n6lck12345679k1i`f>?012347d<jea;<=>?011a?gjl89:;<=>;b:`oo56789:;9o5mlj2345678?h0nae?0123451e3kf`<=>?012;f>dkc9:;<=>?9c9ahn6789:;<ll4bmi3456789hi7obd0123456dj2hgg=>?0123`g=edb:;<=>?0d`8fim789:;<=hm;cnh456789;;n6lck12345669k1i`f>?012357d<jea;<=>?001a?gjl89:;<=?;b:`oo56789::9o5mlj2345679?h0nae?0123441e3kf`<=>?013;f>dkc9:;<=>>9c9ahn6789:;=ll4bmi3456788hi7obd0123457dj2hgg=>?0122`g=edb:;<=>?1d`8fim789:;<<hm;cnh4567898;n6lck12345659k1i`f>?012367d<jea;<=>?031a?gjl89:;<=<;b:`oo56789:99o5mlj234567:?h0nae?0123471e3kf`<=>?010;f>dkc9:;<=>=9c9ahn6789:;>ll4bmi345678;hi7obd0123454dj2hgg=>?0121`g=edb:;<=>?2d`8fim789:;<?hm;cnh4567899;n6lck12345649k1i`f>?012377d<jea;<=>?021a?gjl89:;<==;b:`oo56789:89o5mlj234567;?h0nae?0123461e3kf`<=>?011;f>dkc9:;<=><9c9ahn6789:;?ll4bmi345678:hi7obd0123455dj2hgg=>?0120`g=edb:;<=>?3d`8fim789:;<>hm;cnh456789>;n6lck12345639k1i`f>?012307d<jea;<=>?051a?gjl89:;<=:;b:`oo56789:?9o5mlj234567<?h0nae?0123411e3kf`<=>?016;f>dkc9:;<=>;9c9ahn6789:;8ll4bmi345678=hi7obd0123452dj2hgg=>?0127`g=edb:;<=>?4d`8fim789:;<9hm;cnh456789?;n6lck12345629k1i`f>?012317d<jea;<=>?041a?gjl89:;<=;;b:`oo56789:>9o5mlj234567=?h0nae?0123401e3kf`<=>?017;f>dkc9:;<=>:9c9ahn6789:;9ll4bmi345678<hi7obd0123453dj2hgg=>?0126`g=edb:;<=>?5d`8fim789:;<8hm;cnh456789<;n6lck12345619k1i`f>?012327d<jea;<=>?071a?gjl89:;<=8;b:`oo56789:=9o5mlj234567>?h0nae?0123431e3kf`<=>?014;f>dkc9:;<=>99c9ahn6789:;:ll4bmi345678?hi7obd0123450dj2hgg=>?0125`g=edb:;<=>?6d`8fim789:;<;hm;cnh456789=;n6lck12345609k1i`f>?012337d<jea;<=>?061a?gjl89:;<=9;b:`oo56789:<9o5mlj234567??h0nae?0123421e3kf`<=>?015;f>dkc9:;<=>89c9ahn6789:;;ll4bmi345678>hi7obd0123451dj2hgg=>?0124`g=edb:;<=>?7d`8fim789:;<:hm;cnh4567892;n6lck123456?9k1i`f>?0123<7d<jea;<=>?091a?gjl89:;<=6;b:`oo56789:39o5mlj2345670?h0nae?01234=1e3kf`<=>?01:;f>dkc9:;<=>79c9ahn6789:;4ll4bmi3456781hi7obd012345>dj2hgg=>?012;`g=edb:;<=>?8d`8fim789:;<5hm;cnh4567893;n6lck123456>9k1i`f>?0123=7d<jea;<=>?081a?gjl89:;<=7;b:`oo56789:29o5mlj2345671?h0nae?01234<1e3kf`<=>?01;;f>dkc9:;<=>69c9ahn6789:;5ll4bmi3456780hi7obd012345?dj2hgg=>?012:`g=edb:;<=>?9d`8fim789:;<4hm;cnh456789k;n6lck123456f9k1i`f>?0123e7d<jea;<=>?0`1a?gjl89:;<=o;b:`oo56789:j9o5mlj234567i?h0nae?01234d1e3kf`<=>?01c;f>dkc9:;<=>n9c9ahn6789:;mll4bmi345678hhi7obd012345gdj2hgg=>?012b`g=edb:;<=>?ad`8fim789:;<lhm;cnh456789h;n6lck123456e9k1i`f>?0123f7d<jea;<=>?0c1a?gjl89:;<=l;b:`oo56789:i9o5mlj234567j?h0nae?01234g1e3kf`<=>?01`;f>dkc9:;<=>m9c9ahn6789:;nll4bmi345678khi7obd012345ddj2hgg=>?012a`g=edb:;<=>?bd`8fim789:;<ohm;cnh456789i;n6lck123456d9k1i`f>?0123g7d<jea;<=>?0b1a?gjl89:;<=m;b:`oo56789:h9o5mlj234567k?h0nae?01234f1e3kf`<=>?01a;f>dkc9:;<=>l9c9ahn6789:;oll4bmi345678jhi7obd012345edj2hgg=>?012``g=edb:;<=>?cd`8fim789:;<nhm;cnh456789n;n6lck123456c9k1i`f>?0123`7d<jea;<=>?0e1a?gjl89:;<=j;b:`oo56789:o9o5mlj234567l?h0nae?01234a1e3kf`<=>?01f;f>dkc9:;<=>k9c9ahn6789:;hll4bmi345678mhi7obd012345bdj2hgg=>?012g`g=edb:;<=>?dd`8fim789:;<ihm;cnh456789o;n6lck123456b9k1i`f>?0123a7d<jea;<=>?0d1a?gjl89:;<=k;b:`oo56789:n9o5mlj234567m?h0nae?01234`1e3kf`<=>?01g;f>dkc9:;<=>j9c9ahn6789:;ill4bmi345678lhi7obd012345cdj2hgg=>?012f`g=edb:;<=>?ed`8fim789:;<hhm;cnh456789l;n6lck123456a9k1i`f>?0123b7d<jea;<=>?0g1a?gjl89:;<=h;b:`oo56789:m9o5mlj234567n?h0nae?01234c1e3kf`<=>?01d;f>dkc9:;<=>i9c9ahn6789:;jll4bmi345678ohi7obd012345`dj2hgg=>?012e`g=edb:;<=>?fd`8fim789:;<khm;cnh456788:;n6lck12345779k1i`f>?012247d<jea;<=>?111a?gjl89:;<<>;b:`oo56789;;9o5mlj2345668?h0nae?0123551e3kf`<=>?002;f>dkc9:;<=??9c9ahn6789::<ll4bmi3456799hi7obd0123446dj2hgg=>?0133`g=edb:;<=>>0d`8fim789:;==hm;cnh456788;;n6lck12345769k1i`f>?012257d<jea;<=>?101a?gjl89:;<<?;b:`oo56789;:9o5mlj2345669?h0nae?0123541e3kf`<=>?003;f>dkc9:;<=?>9c9ahn6789::=ll4bmi3456798hi7obd0123447dj2hgg=>?0132`g=edb:;<=>>1d`8fim789:;=<hm;cnh4567888;n6lck12345759k1i`f>?012267d<jea;<=>?131a?gjl89:;<<<;b:`oo56789;99o5mlj234566:?h0nae?0123571e3kf`<=>?000;f>dkc9:;<=?=9c9ahn6789::>ll4bmi345679;hi7obd0123444dj2hgg=>?0131`g=edb:;<=>>2d`8fim789:;=?hm;cnh4567889;n6lck12345749k1i`f>?012277d<jea;<=>?121a?gjl89:;<<=;b:`oo56789;89o5mlj234566;?h0nae?0123561e3kf`<=>?001;f>dkc9:;<=?<9c9ahn6789::?ll4bmi345679:hi7obd0123445dj2hgg=>?0130`g=edb:;<=>>3d`8fim789:;=>hm;cnh456788>;n6lck12345739k1i`f>?012207d<jea;<=>?151a?gjl89:;<<:;b:`oo56789;?9o5mlj234566<?h0nae?0123511e3kf`<=>?006;f>dkc9:;<=?;9c9ahn6789::8ll4bmi345679=hi7obd0123442dj2hgg=>?0137`g=edb:;<=>>4d`8fim789:;=9hm;cnh456788?;n6lck12345729k1i`f>?012217d<jea;<=>?141a?gjl89:;<<;;b:`oo56789;>9o5mlj234566=?h0nae?0123501e3kf`<=>?007;f>dkc9:;<=?:9c9ahn6789::9ll4bmi345679<hi7obd0123443dj2hgg=>?0136`g=edb:;<=>>5d`8fim789:;=8hm;cnh456788<;n6lck12345719k1i`f>?012227d<jea;<=>?171a?gjl89:;<<8;b:`oo56789;=9o5mlj234566>?h0nae?0123531e3kf`<=>?004;f>dkc9:;<=?99c9ahn6789:::ll4bmi345679?hi7obd0123440dj2hgg=>?0135`g=edb:;<=>>6d`8fim789:;=;hm;cnh456788=;n6lck12345709k1i`f>?012237d<jea;<=>?161a?gjl89:;<<9;b:`oo56789;<9o5mlj234566??h0nae?0123521e3kf`<=>?005;f>dkc9:;<=?89c9ahn6789::;ll4bmi345679>hi7obd0123441dj2hgg=>?0134`g=edb:;<=>>7d`8fim789:;=:hm;cnh4567882;n6lck123457?9k1i`f>?0122<7d<jea;<=>?191a?gjl89:;<<6;b:`oo56789;39o5mlj2345660?h0nae?01235=1e3kf`<=>?00:;f>dkc9:;<=?79c9ahn6789::4ll4bmi3456791hi7obd012344>dj2hgg=>?013;`g=edb:;<=>>8d`8fim789:;=5hm;cnh4567883;n6lck123457>9k1i`f>?0122=7d<jea;<=>?181a?gjl89:;<<7;b:`oo56789;29o5mlj2345661?h0nae?01235<1e3kf`<=>?00;;f>dkc9:;<=?69c9ahn6789::5ll4bmi3456790hi7obd012344?dj2hgg=>?013:`g=edb:;<=>>9d`8fim789:;=4hm;cnh456788k;n6lck123457f9k1i`f>?0122e7d<jea;<=>?1`1a?gjl89:;<<o;b:`oo56789;j9o5mlj234566i?h0nae?01235d1e3kf`<=>?00c;f>dkc9:;<=?n9c9ahn6789::mll4bmi345679hhi7obd012344gdj2hgg=>?013b`g=edb:;<=>>ad`8fim789:;=lhm;cnh456788h;n6lck123457e9k1i`f>?0122f7d<jea;<=>?1c1a?gjl89:;<<l;b:`oo56789;i9o5mlj234566j?h0nae?01235g1e3kf`<=>?00`;f>dkc9:;<=?m9c9ahn6789::nll4bmi345679khi7obd012344ddj2hgg=>?013a`g=edb:;<=>>bd`8fim789:;=ohm;cnh456788i;n6lck123457d9k1i`f>?0122g7d<jea;<=>?1b1a?gjl89:;<<m;b:`oo56789;h9o5mlj234566k?h0nae?01235f1e3kf`<=>?00a;f>dkc9:;<=?l9c9ahn6789::oll4bmi345679jhi7obd012344edj2hgg=>?013``g=edb:;<=>>cd`8fim789:;=nhm;cnh456788n;n6lck123457c9k1i`f>?0122`7d<jea;<=>?1e1a?gjl89:;<<j;b:`oo56789;o9o5mlj234566l?h0nae?01235a1e3kf`<=>?00f;f>dkc9:;<=?k9c9ahn6789::hll4bmi345679mhi7obd012344bdj2hgg=>?013g`g=edb:;<=>>dd`8fim789:;=ihm;cnh456788o;n6lck123457b9k1i`f>?0122a7d<jea;<=>?1d1a?gjl89:;<<k;b:`oo56789;n9o5mlj234566m?h0nae?01235`1e3kf`<=>?00g;f>dkc9:;<=?j9c9ahn6789::ill4bmi345679lhi7obd012344cdj2hgg=>?013f`g=edb:;<=>>ed`8fim789:;=hhm;cnh456788l;n6lck123457a9k1i`f>?0122b7d<jea;<=>?1g1a?gjl89:;<<h;b:`oo56789;m9o5mlj234566n?h0nae?01235c1e3kf`<=>?00d;f>dkc9:;<=?i9c9ahn6789::jll4bmi345679ohi7obd012344`dj2hgg=>?013e`g=edb:;<=>>fd`8fim789:;=khm;cnh45678;:;n6lck12345479k1i`f>?012147d<jea;<=>?211a?gjl89:;<?>;b:`oo567898;9o5mlj2345658?h0nae?0123651e3kf`<=>?032;f>dkc9:;<=<?9c9ahn6789:9<ll4bmi34567:9hi7obd0123476dj2hgg=>?0103`g=edb:;<=>=0d`8fim789:;>=hm;cnh45678;;;n6lck12345469k1i`f>?012157d<jea;<=>?201a?gjl89:;<??;b:`oo567898:9o5mlj2345659?h0nae?0123641e3kf`<=>?033;f>dkc9:;<=<>9c9ahn6789:9=ll4bmi34567:8hi7obd0123477dj2hgg=>?0102`g=edb:;<=>=1d`8fim789:;><hm;cnh45678;8;n6lck12345459k1i`f>?012167d<jea;<=>?231a?gjl89:;<?<;b:`oo56789899o5mlj234565:?h0nae?0123671e3kf`<=>?030;f>dkc9:;<=<=9c9ahn6789:9>ll4bmi34567:;hi7obd0123474dj2hgg=>?0101`g=edb:;<=>=2d`8fim789:;>?hm;cnh45678;9;n6lck12345449k1i`f>?012177d<jea;<=>?221a?gjl89:;<?=;b:`oo56789889o5mlj234565;?h0nae?0123661e3kf`<=>?031;f>dkc9:;<=<<9c9ahn6789:9?ll4bmi34567::hi7obd0123475dj2hgg=>?0100`g=edb:;<=>=3d`8fim789:;>>hm;cnh45678;>;n6lck12345439k1i`f>?012107d<jea;<=>?251a?gjl89:;<?:;b:`oo567898?9o5mlj234565<?h0nae?0123611e3kf`<=>?036;f>dkc9:;<=<;9c9ahn6789:98ll4bmi34567:=hi7obd0123472dj2hgg=>?0107`g=edb:;<=>=4d`8fim789:;>9hm;cnh45678;?;n6lck12345429k1i`f>?012117d<jea;<=>?241a?gjl89:;<?;;b:`oo567898>9o5mlj234565=?h0nae?0123601e3kf`<=>?037;f>dkc9:;<=<:9c9ahn6789:99ll4bmi34567:<hi7obd0123473dj2hgg=>?0106`g=edb:;<=>=5d`8fim789:;>8hm;cnh45678;<;n6lck12345419k1i`f>?012127d<jea;<=>?271a?gjl89:;<?8;b:`oo567898=9o5mlj234565>?h0nae?0123631e3kf`<=>?034;f>dkc9:;<=<99c9ahn6789:9:ll4bmi34567:?hi7obd0123470dj2hgg=>?0105`g=edb:;<=>=6d`8fim789:;>;hm;cnh45678;=;n6lck12345409k1i`f>?012137d<jea;<=>?261a?gjl89:;<?9;b:`oo567898<9o5mlj234565??h0nae?0123621e3kf`<=>?035;f>dkc9:;<=<89c9ahn6789:9;ll4bmi34567:>hi7obd0123471dj2hgg=>?0104`g=edb:;<=>=7d`8fim789:;>:hm;cnh45678;2;n6lck123454?9k1i`f>?0121<7d<jea;<=>?291a?gjl89:;<?6;b:`oo56789839o5mlj2345650?h0nae?01236=1e3kf`<=>?03:;f>dkc9:;<=<79c9ahn6789:94ll4bmi34567:1hi7obd012347>dj2hgg=>?010;`g=edb:;<=>=8d`8fim789:;>5hm;cnh45678;3;n6lck123454>9k1i`f>?0121=7d<jea;<=>?281a?gjl89:;<?7;b:`oo56789829o5mlj2345651?<0nt~nsr08g`5<keah7ikcax]omkcX9j1oiaov_mkmaZ4d3mogmtQciog\7f=cmekrSagae^6`?ackipUgeckP5b9gaig~WeceiR8l;egoe|YkagoT;n5kemcz[ioimV2h7ikcax]omkcX1:1o}?=;gcavleXx`znhRolcto26>krd}UlicQy10]3[}usl2g~`yolcto\`vve3dgxdokdRkm553<e|feljkShl/|gowWdgxlmlul]u6Z6+sjUjSywe<2/gZgX`nd0<>,b]bgfsjWdeoi0>#c^c`gpkX`nd09?,b]a[qwm4;'oRlPwhfwl846$jUiu}o|s^nls86+kVinSbxjrskgavYtkex6<!mPh`q\f|vf{z7: nQcaugmg|;*9%iTcxzPwhfwl817$jUycx`k_u{sa86+kVxiRj|p5:>5)eX~lxic~g{y<2/gZ~cmchikobim{>wugu|hd=q?<4mtnwmdbc[`d'tog_lwopded}dU}>R>#{|:8jbee}`fo>6``9:ms[wctaso?7~2?>49p8469=2y7=<0:;r>26;3<{5;8285|<06=1>u;9<4>7~2>6?78w9706<1x0<615:q?5<833z6:285|<32=1>u;:84>7~2=2?78w9446<1x0?:15:q?60823z69:3;4s=04:0=t4;2596}328<7?v:56<1x0>>15:q?74823z68>3;4s=10:0=t4:>596}334<6?v:4>7?01=8>49p86>9=2y7?40;;r>0:0=t4=:596}340<6?v:3:7?01:<>49p8129=2y7880:;r>72;3<{5><285|<5:=1>u;<04?7~2;>49p8069=2y79<0:;r>66;3<{5?8285|<46=1>u;=<4>7~2:6?78w9306<1x08615:q?1<833z6>285|<72=1>u;>84>7~292?78w9046<1x0;:15:q?20823z6=:3;4s=44:0=t4?25;6}36883:0=t4?3586}36?68w919<2y743:4s=;=0>udd{h0di?0123457e3zcl<=>?0121f>uno9:;<=>?3c9pmb6789:;<9l4she3456789?i7~gh01234561j2ybk=>?01233g=tan:;<=>?09`8wla789:;<=7m;rkd456789:jn6}fg1234567jk1xej>?01234fd<{`m;<=>?01fa?vo`89:;<=>jb:qjc56789:;jo5|if23456799h0di?0123447e3zcl<=>?0131f>uno9:;<=>>3c9pmb6789:;=9l4she3456788?i7~gh01234571j2ybk=>?01223g=tan:;<=>?19`8wla789:;<<7m;rkd456789;jn6}fg1234566jk1xej>?01235fd<{`m;<=>?00fa?vo`89:;<=?jb:qjc56789::jo5|if234567:9h0di?0123477e3zcl<=>?0101f>uno9:;<=>=3c9pmb6789:;>9l4she345678;?i7~gh01234541j2ybk=>?01213g=tan:;<=>?29`8wla789:;<?7m;rkd4567898jn6}fg1234565jk1xej>?01236fd<{`m;<=>?03fa?vo`89:;<=<jb:qjc56789:9jo5|if234567;9h0di?0123467e3zcl<=>?0111f>uno9:;<=><3c9pmb6789:;?9l4she345678:?i7~gh01234551j2ybk=>?01203g=tan:;<=>?39`8wla789:;<>7m;rkd4567899jn6}fg1234564jk1xej>?01237fd<{`m;<=>?02fa?vo`89:;<==jb:qjc56789:8jo5|if234567<9h0di?0123417e3zcl<=>?0161f>uno9:;<=>;3c9pmb6789:;89l4she345678=?i7~gh01234521j2ybk=>?01273g=tan:;<=>?49`8wla789:;<97m;rkd456789>jn6}fg1234563jk1xej>?01230fd<{`m;<=>?05fa?vo`89:;<=:jb:qjc56789:?jo5|if234567=9h0di?0123407e3zcl<=>?0171f>uno9:;<=>:3c9pmb6789:;99l4she345678<?i7~gh01234531j2ybk=>?01263g=tan:;<=>?59`8wla789:;<87m;rkd456789?jn6}fg1234562jk1xej>?01231fd<{`m;<=>?04fa?vo`89:;<=;jb:qjc56789:>jo5|if234567>9h0di?0123437e3zcl<=>?0141f>uno9:;<=>93c9pmb6789:;:9l4she345678??i7~gh01234501j2ybk=>?01253g=tan:;<=>?69`8wla789:;<;7m;rkd456789<jn6}fg1234561jk1xej>?01232fd<{`m;<=>?07fa?vo`89:;<=8jb:qjc56789:=jo5|if234567?9h0di?0123427e3zcl<=>?0151f>uno9:;<=>83c9pmb6789:;;9l4she345678>?i7~gh01234511j2ybk=>?01243g=tan:;<=>?79`8wla789:;<:7m;rkd456789=jn6}fg1234560jk1xej>?01233fd<{`m;<=>?06fa?vo`89:;<=9jb:qjc56789:<jo5|if23456709h0di?01234=7e3zcl<=>?01:1f>uno9:;<=>73c9pmb6789:;49l4she3456781?i7~gh012345>1j2ybk=>?012;3g=tan:;<=>?89`8wla789:;<57m;rkd4567892jn6}fg123456?jk1xej>?0123<fd<{`m;<=>?09fa?vo`89:;<=6jb:qjc56789:3jo5|if23456719h0di?01234<7e3zcl<=>?01;1f>uno9:;<=>63c9pmb6789:;59l4she3456780?i7~gh012345?1j2ybk=>?012:3g=tan:;<=>?99`8wla789:;<47m;rkd4567893jn6}fg123456>jk1xej>?0123=fd<{`m;<=>?08fa?vo`89:;<=7jb:qjc56789:2jo5|if234567i9h0di?01234d7e3zcl<=>?01c1f>uno9:;<=>n3c9pmb6789:;m9l4she345678h?i7~gh012345g1j2ybk=>?012b3g=tan:;<=>?a9`8wla789:;<l7m;rkd456789kjn6}fg123456fjk1xej>?0123efd<{`m;<=>?0`fa?vo`89:;<=ojb:qjc56789:jjo5|if234567j9h0di?01234g7e3zcl<=>?01`1f>uno9:;<=>m3c9pmb6789:;n9l4she345678k?i7~gh012345d1j2ybk=>?012a3g=tan:;<=>?b9`8wla789:;<o7m;rkd456789hjn6}fg123456ejk1xej>?0123ffd<{`m;<=>?0cfa?vo`89:;<=ljb:qjc56789:ijo5|if234567k9h0di?01234f7e3zcl<=>?01a1f>uno9:;<=>l3c9pmb6789:;o9l4she345678j?i7~gh012345e1j2ybk=>?012`3g=tan:;<=>?c9`8wla789:;<n7m;rkd456789ijn6}fg123456djk1xej>?0123gfd<{`m;<=>?0bfa?vo`89:;<=mjb:qjc56789:hjo5|if234567l9h0di?01234a7e3zcl<=>?01f1f>uno9:;<=>k3c9pmb6789:;h9l4she345678m?i7~gh012345b1j2ybk=>?012g3g=tan:;<=>?d9`8wla789:;<i7m;rkd456789njn6}fg123456cjk1xej>?0123`fd<{`m;<=>?0efa?vo`89:;<=jjb:qjc56789:ojo5|if234567m9h0di?01234`7e3zcl<=>?01g1f>uno9:;<=>j3c9pmb6789:;i9l4she345678l?i7~gh012345c1j2ybk=>?012f3g=tan:;<=>?e9`8wla789:;<h7m;rkd456789ojn6}fg123456bjk1xej>?0123afd<{`m;<=>?0dfa?vo`89:;<=kjb:qjc56789:njo5|if234567n9h0di?01234c7e3zcl<=>?01d1f>uno9:;<=>i3c9pmb6789:;j9l4she345678o?i7~gh012345`1j2ybk=>?012e3g=tan:;<=>?f9`8wla789:;<k7m;rkd456789ljn6}fg123456ajk1xej>?0123bfd<{`m;<=>?0gfa?vo`89:;<=hjb:qjc56789:mjo5|if23456689h0di?0123557e3zcl<=>?0021f>uno9:;<=??3c9pmb6789::<9l4she3456799?i7~gh01234461j2ybk=>?01333g=tan:;<=>>09`8wla789:;==7m;rkd456788:jn6}fg1234577jk1xej>?01224fd<{`m;<=>?11fa?vo`89:;<<>jb:qjc56789;;jo5|if23456699h0di?0123547e3zcl<=>?0031f>uno9:;<=?>3c9pmb6789::=9l4she3456798?i7~gh01234471j2ybk=>?01323g=tan:;<=>>19`8wla789:;=<7m;rkd456788;jn6}fg1234576jk1xej>?01225fd<{`m;<=>?10fa?vo`89:;<<?jb:qjc56789;:jo5|if234566:9h0di?0123577e3zcl<=>?0001f>uno9:;<=?=3c9pmb6789::>9l4she345679;?i7~gh01234441j2ybk=>?01313g=tan:;<=>>29`8wla789:;=?7m;rkd4567888jn6}fg1234575jk1xej>?01226fd<{`m;<=>?13fa?vo`89:;<<<jb:qjc56789;9jo5|if234566;9h0di?0123567e3zcl<=>?0011f>uno9:;<=?<3c9pmb6789::?9l4she345679:?i7~gh01234451j2ybk=>?01303g=tan:;<=>>39`8wla789:;=>7m;rkd4567889jn6}fg1234574jk1xej>?01227fd<{`m;<=>?12fa?vo`89:;<<=jb:qjc56789;8jo5|if234566<9h0di?0123517e3zcl<=>?0061f>uno9:;<=?;3c9pmb6789::89l4she345679=?i7~gh01234421j2ybk=>?01373g=tan:;<=>>49`8wla789:;=97m;rkd456788>jn6}fg1234573jk1xej>?01220fd<{`m;<=>?15fa?vo`89:;<<:jb:qjc56789;?jo5|if234566=9h0di?0123507e3zcl<=>?0071f>uno9:;<=?:3c9pmb6789::99l4she345679<?i7~gh01234431j2ybk=>?01363g=tan:;<=>>59`8wla789:;=87m;rkd456788?jn6}fg1234572jk1xej>?01221fd<{`m;<=>?14fa?vo`89:;<<;jb:qjc56789;>jo5|if234566>9h0di?0123537e3zcl<=>?0041f>uno9:;<=?93c9pmb6789:::9l4she345679??i7~gh01234401j2ybk=>?01353g=tan:;<=>>69`8wla789:;=;7m;rkd456788<jn6}fg1234571jk1xej>?01222fd<{`m;<=>?17fa?vo`89:;<<8jb:qjc56789;=jo5|if234566?9h0di?0123527e3zcl<=>?0051f>uno9:;<=?83c9pmb6789::;9l4she345679>?i7~gh01234411j2ybk=>?01343g=tan:;<=>>79`8wla789:;=:7m;rkd456788=jn6}fg1234570jk1xej>?01223fd<{`m;<=>?16fa?vo`89:;<<9jb:qjc56789;<jo5|if23456609h0di?01235=7e3zcl<=>?00:1f>uno9:;<=?73c9pmb6789::49l4she3456791?i7~gh012344>1j2ybk=>?013;3g=tan:;<=>>89`8wla789:;=57m;rkd4567882jn6}fg123457?jk1xej>?0122<fd<{`m;<=>?19fa?vo`89:;<<6jb:qjc56789;3jo5|if23456619h0di?01235<7e3zcl<=>?00;1f>uno9:;<=?63c9pmb6789::59l4she3456790?i7~gh012344?1j2ybk=>?013:3g=tan:;<=>>99`8wla789:;=47m;rkd4567883jn6}fg123457>jk1xej>?0122=fd<{`m;<=>?18fa?vo`89:;<<7jb:qjc56789;2jo5|if234566i9h0di?01235d7e3zcl<=>?00c1f>uno9:;<=?n3c9pmb6789::m9l4she345679h?i7~gh012344g1j2ybk=>?013b3g=tan:;<=>>a9`8wla789:;=l7m;rkd456788kjn6}fg123457fjk1xej>?0122efd<{`m;<=>?1`fa?vo`89:;<<ojb:qjc56789;jjo5|if234566j9h0di?01235g7e3zcl<=>?00`1f>uno9:;<=?m3c9pmb6789::n9l4she345679k?i7~gh012344d1j2ybk=>?013a3g=tan:;<=>>b9`8wla789:;=o7m;rkd456788hjn6}fg123457ejk1xej>?0122ffd<{`m;<=>?1cfa?vo`89:;<<ljb:qjc56789;ijo5|if234566k9h0di?01235f7e3zcl<=>?00a1f>uno9:;<=?l3c9pmb6789::o9l4she345679j?i7~gh012344e1j2ybk=>?013`3g=tan:;<=>>c9`8wla789:;=n7m;rkd456788ijn6}fg123457djk1xej>?0122gfd<{`m;<=>?1bfa?vo`89:;<<mjb:qjc56789;hjo5|if234566l9h0di?01235a7e3zcl<=>?00f1f>uno9:;<=?k3c9pmb6789::h9l4she345679m?i7~gh012344b1j2ybk=>?013g3g=tan:;<=>>d9`8wla789:;=i7m;rkd456788njn6}fg123457cjk1xej>?0122`fd<{`m;<=>?1efa?vo`89:;<<jjb:qjc56789;ojo5|if234566m9h0di?01235`7e3zcl<=>?00g1f>uno9:;<=?j3c9pmb6789::i9l4she345679l?i7~gh012344c1j2ybk=>?013f3g=tan:;<=>>e9`8wla789:;=h7m;rkd456788ojn6}fg123457bjk1xej>?0122afd<{`m;<=>?1dfa?vo`89:;<<kjb:qjc56789;njo5|if234566n9h0di?01235c7e3zcl<=>?00d1f>uno9:;<=?i3c9pmb6789::j9l4she345679o?i7~gh012344`1j2ybk=>?013e3g=tan:;<=>>f9`8wla789:;=k7m;rkd456788ljn6}fg123457ajk1xej>?0122bfd<{`m;<=>?1gfa?vo`89:;<<hjb:qjc56789;mjo5|if23456589h0di?0123657e3zcl<=>?0321f>uno9:;<=<?3c9pmb6789:9<9l4she34567:9?i7~gh01234761j2ybk=>?01033g=tan:;<=>=09`8wla789:;>=7m;rkd45678;:jn6}fg1234547jk1xej>?01214fd<{`m;<=>?21fa?vo`89:;<?>jb:qjc567898;jo5|if23456599h0di?0123647e3zcl<=>?0331f>uno9:;<=<>3c9pmb6789:9=9l4she34567:8?i7~gh01234771j2ybk=>?01023g=tan:;<=>=19`8wla789:;><7m;rkd45678;;jn6}fg1234546jk1xej>?01215fd<{`m;<=>?20fa?vo`89:;<??jb:qjc567898:jo5|if234565:9h0di?0123677e3zcl<=>?0301f>uno9:;<=<=3c9pmb6789:9>9l4she34567:;?i7~gh01234741j2ybk=>?01013g=tan:;<=>=29`8wla789:;>?7m;rkd45678;8jn6}fg1234545jk1xej>?01216fd<{`m;<=>?23fa?vo`89:;<?<jb:qjc5678989jo5|if234565;9h0di?0123667e3zcl<=>?0311f>uno9:;<=<<3c9pmb6789:9?9l4she34567::?i7~gh01234751j2ybk=>?01003g=tan:;<=>=39`8wla789:;>>7m;rkd45678;9jn6}fg1234544jk1xej>?01217fd<{`m;<=>?22fa?vo`89:;<?=jb:qjc5678988jo5|if234565<9h0di?0123617e3zcl<=>?0361f>uno9:;<=<;3c9pmb6789:989l4she34567:=?i7~gh01234721j2ybk=>?01073g=tan:;<=>=49`8wla789:;>97m;rkd45678;>jn6}fg1234543jk1xej>?01210fd<{`m;<=>?25fa?vo`89:;<?:jb:qjc567898?jo5|if234565=9h0di?0123607e3zcl<=>?0371f>uno9:;<=<:3c9pmb6789:999l4she34567:<?i7~gh01234731j2ybk=>?01063g=tan:;<=>=59`8wla789:;>87m;rkd45678;?jn6}fg1234542jk1xej>?01211fd<{`m;<=>?24fa?vo`89:;<?;jb:qjc567898>jo5|if234565>9h0di?0123637e3zcl<=>?0341f>uno9:;<=<93c9pmb6789:9:9l4she34567:??i7~gh01234701j2ybk=>?01053g=tan:;<=>=69`8wla789:;>;7m;rkd45678;<jn6}fg1234541jk1xej>?01212fd<{`m;<=>?27fa?vo`89:;<?8jb:qjc567898=jo5|if234565?9h0di?0123627e3zcl<=>?0351f>uno9:;<=<83c9pmb6789:9;9l4she34567:>?i7~gh01234711j2ybk=>?01043g=tan:;<=>=79`8wla789:;>:7m;rkd45678;=jn6}fg1234540jk1xej>?01213fd<{`m;<=>?26fa?vo`89:;<?9jb:qjc567898<jo5|if23456509h0di?01236=7e3zcl<=>?03:1f>uno9:;<=<73c9pmb6789:949l4she34567:1?i7~gh012347>1j2ybk=>?010;3g=tan:;<=>=89`8wla789:;>57m;rkd45678;2jn6}fg123454?jk1xej>?0121<fd<{`m;<=>?29fa?vo`89:;<?6jb:qjc5678983jo5|if23456519h0di?01236<7e3zcl<=>?03;1f>uno9:;<=<63c9pmb6789:959l4she34567:0?i7~gh012347?1j2ybk=>?010:3g=tan:;<=>=99`8wla789:;>47m;rkd45678;3jn6}fg123454>jk1xej>?0121=fd<{`m;<=>?28fa?vo`89:;<?7jb:qjc5678982jo5|if234565i9h0di?01236d7e3zcl<=>?03c1f>uno9:;<=<n3c9pmb6789:9m9l4she34567:h?i7~gh012347g1j2ybk=>?010b3g=tan:;<=>=a9`8wla789:;>l7m;rkd45678;kjn6}fg123454fjk1xej>?0121efd<{`m;<=>?2`fa?vo`89:;<?ojb:qjc567898jjo5|if234565j9h0di?01236g7e3zcl<=>?03`1f>uno9:;<=<m3c9pmb6789:9n9l4she34567:k?i7~gh012347d1j2ybk=>?010a3g=tan:;<=>=b9`8wla789:;>o7m;rkd45678;hjn6}fg123454ejk1xej>?0121ffd<{`m;<=>?2cfa?vo`89:;<?ljb:qjc567898ijo5|if234565k9h0di?01236f7e3zcl<=>?03a1f>uno9:;<=<l3c9pmb6789:9o9l4she34567:j?i7~gh012347e1j2ybk=>?010`3g=tan:;<=>=c9`8wla789:;>n7m;rkd45678;ijn6}fg123454djk1xej>?0121gfd<{`m;<=>?2bfa?vo`89:;<?mjb:qjc567898hjo5|if234565l9h0di?01236a7e3zcl<=>?03f1f>uno9:;<=<k3c9pmb6789:9h9l4she34567:m?i7~gh012347b1j2ybk=>?010g3g=tan:;<=>=d9`8wla789:;>i7m;rkd45678;njn6}fg123454cjk1xej>?0121`fd<{`m;<=>?2efa?vo`89:;<?jjb:qjc567898ojo5|if234565m9h0di?01236`7e3zcl<=>?03g1f>uno9:;<=<j3c9pmb6789:9i9l4she34567:l?i7~gh012347c1j2ybk=>?010f3g=tan:;<=>=e9`8wla789:;>h7m;rkd45678;ojn6}fg123454bjk1xej>?0121afd<{`m;<=>?2dfa?vo`89:;<?kjb:qjc567898njo5|if234565n9h0di?01236c7e3zcl<=>?03d1f>uno9:;<=<i3c9pmb6789:9j9l4she34567:o?i7~gh012347`1j2ybk=>?010e3g=tan:;<=>=f9`8wla789:;>k7m;rkd45678;ljn6}fg123454ajk1xej>?0121bfd<{`m;<=>?2gfa?vo`89:;<?hjb:qjc567898mjo5|if23456489h0di?0123757e3zcl<=>?0221f>uno9:;<==?3c9pmb6789:8<9l4she34567;9?i7~gh01234661j2ybk=>?01133g=tan:;<=><09`8wla789:;?=7m;rkd45678::jn6}fg1234557jk1xej>?01204fd<{`m;<=>?31fa?vo`89:;<>>jb:qjc567899;jo5|if23456499h0di?0123747e3zcl<=>?0231f>uno9:;<==>3c9pmb6789:8=9l4she34567;8?i7~gh01234671j2ybk=>?01123g=tan:;<=><19`8wla789:;?<7m;rkd45678:;jn6}fg1234556jk1xej>?01205fd<{`m;<=>?30fa?vo`89:;<>?jb:qjc567899:jo5|if234564:9h0di?0123777e3zcl<=>?0201f>uno9:;<===3c9pmb6789:8>9l4she34567;;?i7~gh01234641j2ybk=>?01113g=tan:;<=><29`8wla789:;??7m;rkd45678:8jn6}fg1234555jk1xej>?01206fd<{`m;<=>?33fa?vo`89:;<><jb:qjc5678999jo5|if234564;9h0di?0123767e3zcl<=>?0211f>uno9:;<==<3c9pmb6789:8?9l4she34567;:?i7~gh01234651j2ybk=>?01103g=tan:;<=><39`8wla789:;?>7m;rkd45678:9jn6}fg1234554jk1xej>?01207fd<{`m;<=>?32fa?vo`89:;<>=jb:qjc5678998jo5|if234564<9h0di?0123717e3zcl<=>?0261f>uno9:;<==;3c9pmb6789:889l4she34567;=?i7~gh01234621j2ybk=>?01173g=tan:;<=><49`8wla789:;?97m;rkd45678:>jn6}fg1234553jk1xej>?01200fd<{`m;<=>?35fa?vo`89:;<>:jb:qjc567899?jo5|if234564=9h0di?0123707e3zcl<=>?0271f>uno9:;<==:3c9pmb6789:899l4she34567;<?i7~gh01234631j2ybk=>?01163g=tan:;<=><59`8wla789:;?87m;rkd45678:?jn6}fg1234552jk1xej>?01201fd<{`m;<=>?34fa?vo`89:;<>;jb:qjc567899>jo5|if234564>9h0di?0123737e3zcl<=>?0241f>uno9:;<==93c9pmb6789:8:9l4she34567;??i7~gh01234601j2ybk=>?01153g=tan:;<=><69`8wla789:;?;7m;rkd45678:<jn6}fg1234551jk1xej>?01202fd<{`m;<=>?37fa?vo`89:;<>8jb:qjc567899=jo5|if234564?9h0di?0123727e3zcl<=>?0251f>uno9:;<==83c9pmb6789:8;9l4she34567;>?i7~gh01234611j2ybk=>?01143g=tan:;<=><79`8wla789:;?:7m;rkd45678:=jn6}fg1234550jk1xej>?01203fd<{`m;<=>?36fa?vo`89:;<>9jb:qjc567899<jo5|if23456409h0di?01237=7e3zcl<=>?02:1f>uno9:;<==73c9pmb6789:849l4she34567;1?i7~gh012346>1j2ybk=>?011;3g=tan:;<=><89`8wla789:;?57m;rkd45678:2jn6}fg123455?jk1xej>?0120<fd<{`m;<=>?39fa?vo`89:;<>6jb:qjc5678993jo5|if23456419h0di?01237<7e3zcl<=>?02;1f>uno9:;<==63c9pmb6789:859l4she34567;0?i7~gh012346?1j2ybk=>?011:3g=tan:;<=><99`8wla789:;?47m;rkd45678:3jn6}fg123455>jk1xej>?0120=fd<{`m;<=>?38fa?vo`89:;<>7jb:qjc5678992jo5|if234564i9h0di?01237d7e3zcl<=>?02c1f>uno9:;<==n3c9pmb6789:8m9l4she34567;h?i7~gh012346g1j2ybk=>?011b3g=tan:;<=><a9`8wla789:;?l7m;rkd45678:kjn6}fg123455fjk1xej>?0120efd<{`m;<=>?3`fa?vo`89:;<>ojb:qjc567899jjo5|if234564j9h0di?01237g7e3zcl<=>?02`1f>uno9:;<==m3c9pmb6789:8n9l4she34567;k?i7~gh012346d1j2ybk=>?011a3g=tan:;<=><b9`8wla789:;?o7m;rkd45678:hjn6}fg123455ejk1xej>?0120ffd<{`m;<=>?3cfa?vo`89:;<>ljb:qjc567899ijo5|if234564k9h0di?01237f7e3zcl<=>?02a1f>uno9:;<==l3c9pmb6789:8o9l4she34567;j?i7~gh012346e1j2ybk=>?011`3g=tan:;<=><c9`8wla789:;?n7m;rkd45678:ijn6}fg123455djk1xej>?0120gfd<{`m;<=>?3bfa?vo`89:;<>mjb:qjc567899hjo5|if234564l9h0di?01237a7e3zcl<=>?02f1f>uno9:;<==k3c9pmb6789:8h9l4she34567;m?i7~gh012346b1j2ybk=>?011g3g=tan:;<=><d9`8wla789:;?i7m;rkd45678:njn6}fg123455cjk1xej>?0120`fd<{`m;<=>?3efa?vo`89:;<>jjb:qjc567899ojo5|if234564m9h0di?01237`7e3zcl<=>?02g1f>uno9:;<==j3c9pmb6789:8i9l4she34567;l?i7~gh012346c1j2ybk=>?011f3g=tan:;<=><e9`8wla789:;?h7m;rkd45678:ojn6}fg123455bjk1xej>?0120afd<{`m;<=>?3dfa?vo`89:;<>kjb:qjc567899njo5|if234564n9h0di?01237c7e3zcl<=>?02d1f>uno9:;<==i3c9pmb6789:8j9l4she34567;o?i7~gh012346`1j2ybk=>?011e3g=tan:;<=><f9`8wla789:;?k7m;rkd45678:ljn6}fg123455ajk1xej>?0120bfd<{`m;<=>?3gfa?vo`89:;<>hjb:qjc567899mjo5|if23456389h0di?0123057e3zcl<=>?0521f>uno9:;<=:?3c9pmb6789:?<9l4she34567<9?i7~gh01234161j2ybk=>?01633g=tan:;<=>;09`8wla789:;8=7m;rkd45678=:jn6}fg1234527jk1xej>?01274fd<{`m;<=>?41fa?vo`89:;<9>jb:qjc56789>;jo5|if23456399h0di?0123047e3zcl<=>?0531f>uno9:;<=:>3c9pmb6789:?=9l4she34567<8?i7~gh01234171j2ybk=>?01623g=tan:;<=>;19`8wla789:;8<7m;rkd45678=;jn6}fg1234526jk1xej>?01275fd<{`m;<=>?40fa?vo`89:;<9?jb:qjc56789>:jo5|if234563:9h0di?0123077e3zcl<=>?0501f>uno9:;<=:=3c9pmb6789:?>9l4she34567<;?i7~gh01234141j2ybk=>?01613g=tan:;<=>;29`8wla789:;8?7m;rkd45678=8jn6}fg1234525jk1xej>?01276fd<{`m;<=>?43fa?vo`89:;<9<jb:qjc56789>9jo5|if234563;9h0di?0123067e3zcl<=>?0511f>uno9:;<=:<3c9pmb6789:??9l4she34567<:?i7~gh01234151j2ybk=>?01603g=tan:;<=>;39`8wla789:;8>7m;rkd45678=9jn6}fg1234524jk1xej>?01277fd<{`m;<=>?42fa?vo`89:;<9=jb:qjc56789>8jo5|if234563<9h0di?0123017e3zcl<=>?0561f>uno9:;<=:;3c9pmb6789:?89l4she34567<=?i7~gh01234121j2ybk=>?01673g=tan:;<=>;49`8wla789:;897m;rkd45678=>jn6}fg1234523jk1xej>?01270fd<{`m;<=>?45fa?vo`89:;<9:jb:qjc56789>?jo5|if234563=9h0di?0123007e3zcl<=>?0571f>uno9:;<=::3c9pmb6789:?99l4she34567<<?i7~gh01234131j2ybk=>?01663g=tan:;<=>;59`8wla789:;887m;rkd45678=?jn6}fg1234522jk1xej>?01271fd<{`m;<=>?44fa?vo`89:;<9;jb:qjc56789>>jo5|if234563>9h0di?0123037e3zcl<=>?0541f>uno9:;<=:93c9pmb6789:?:9l4she34567<??i7~gh01234101j2ybk=>?01653g=tan:;<=>;69`8wla789:;8;7m;rkd45678=<jn6}fg1234521jk1xej>?01272fd<{`m;<=>?47fa?vo`89:;<98jb:qjc56789>=jo5|if234563?9h0di?0123027e3zcl<=>?0551f>uno9:;<=:83c9pmb6789:?;9l4she34567<>?i7~gh01234111j2ybk=>?01643g=tan:;<=>;79`8wla789:;8:7m;rkd45678==jn6}fg1234520jk1xej>?01273fd<{`m;<=>?46fa?vo`89:;<99jb:qjc56789><jo5|if23456309h0di?01230=7e3zcl<=>?05:1f>uno9:;<=:73c9pmb6789:?49l4she34567<1?i7~gh012341>1j2ybk=>?016;3g=tan:;<=>;89`8wla789:;857m;rkd45678=2jn6}fg123452?jk1xej>?0127<fd<{`m;<=>?49fa?vo`89:;<96jb:qjc56789>3jo5|if23456319h0di?01230<7e3zcl<=>?05;1f>uno9:;<=:63c9pmb6789:?59l4she34567<0?i7~gh012341?1j2ybk=>?016:3g=tan:;<=>;99`8wla789:;847m;rkd45678=3jn6}fg123452>jk1xej>?0127=fd<{`m;<=>?48fa?vo`89:;<97jb:qjc56789>2jo5|if234563i9h0di?01230d7e3zcl<=>?05c1f>uno9:;<=:n3c9pmb6789:?m9l4she34567<h?i7~gh012341g1j2ybk=>?016b3g=tan:;<=>;a9`8wla789:;8l7m;rkd45678=kjn6}fg123452fjk1xej>?0127efd<{`m;<=>?4`fa?vo`89:;<9ojb:qjc56789>jjo5|if234563j9h0di?01230g7e3zcl<=>?05`1f>uno9:;<=:m3c9pmb6789:?n9l4she34567<k?i7~gh012341d1j2ybk=>?016a3g=tan:;<=>;b9`8wla789:;8o7m;rkd45678=hjn6}fg123452ejk1xej>?0127ffd<{`m;<=>?4cfa?vo`89:;<9ljb:qjc56789>ijo5|if234563k9h0di?01230f7e3zcl<=>?05a1f>uno9:;<=:l3c9pmb6789:?o9l4she34567<j?i7~gh012341e1j2ybk=>?016`3g=tan:;<=>;c9`8wla789:;8n7m;rkd45678=ijn6}fg123452djk1xej>?0127gfd<{`m;<=>?4bfa?vo`89:;<9mjb:qjc56789>hjo5|if234563l9h0di?01230a7e3zcl<=>?05f1f>uno9:;<=:k3c9pmb6789:?h9l4she34567<m?i7~gh012341b1j2ybk=>?016g3g=tan:;<=>;d9`8wla789:;8i7m;rkd45678=njn6}fg123452cjk1xej>?0127`fd<{`m;<=>?4efa?vo`89:;<9jjb:qjc56789>ojo5|if234563m9h0di?01230`7e3zcl<=>?05g1f>uno9:;<=:j3c9pmb6789:?i9l4she34567<l?i7~gh012341c1j2ybk=>?016f3g=tan:;<=>;e9`8wla789:;8h7m;rkd45678=ojn6}fg123452bjk1xej>?0127afd<{`m;<=>?4dfa?vo`89:;<9kjb:qjc56789>njo5|if234563n9h0di?01230c7e3zcl<=>?05d1f>uno9:;<=:i3c9pmb6789:?j9l4she34567<o?i7~gh012341`1j2ybk=>?016e3g=tan:;<=>;f9`8wla789:;8k7m;rkd45678=ljn6}fg123452ajk1xej>?0127bfd<{`m;<=>?4gfa?vo`89:;<9hjb:qjc56789>mjo5|if23456289h0di?0123157e3zcl<=>?0421f>uno9:;<=;?3c9pmb6789:><9l4she34567=9?i7~gh01234061j2ybk=>?01733g=tan:;<=>:09`8wla789:;9=7m;rkd45678<:jn6}fg1234537jk1xej>?01264fd<{`m;<=>?51fa?vo`89:;<8>jb:qjc56789?;jo5|if23456299h0di?0123147e3zcl<=>?04310>p6&9;87ulfp^c`gpkX~;U;Su}{129{flvXe|fmnmzm^t1[54a3qhb|Rczluc`gpkX~;U; -Vflhl{$FIUM)Mnbh|ntnp#51(09>1snd~PmtnwefereV|9S=Qwsu37?}dnxVzb|hQy2^2\|vrX98>0tog_qksaZp5W9UsyQ=159{flvXx`znS{<P0^zppZ56<2rie}Qiqg\r7Y7WqyS9?;;y`jtZvnxlU}>R>Pxrv\142<pkc{S}ge^t1[5Y{}U=?6wjs038ÇλºÎ·ÝÇȳɳ¿Ñº°¾2=ÉÇÂÅ´°Áj4ÑýÓȻѽÍʰ·µh5Þ¾ÒϺÒüÁɶÎÉpNOp0g`<>FGp<<m6K49:0yPa4<51k0:544>35f;b0<2?18pb?76:09m6<g=>2.95:4=929~Wa`=:0h1=475126g<c3==>2>7^?:9;746?6=9:>o4k;556:4?Vba2<=96=4>35f;b0<2?120_<;6:0;4>dea289?h5h::45;<>Ucn3;2;7olf;300a>a=3?<455k57d94?7=9rYn=7<6b;3:=?74<m2m97;8829uP7>f290:6<4<ecyPa4<51k0:544>35f;b0<2?190(?7=:07a?S4>038py<69:09v5=1=82w/=nl5239a13`=83=?6<484zJ1=5=#:1l19;h4Z9597~7428>1>94r$3;5>db53-ii689>;%g3>0173-8347?4$3:4>7?d3`8jm7>5$30e>7g>3g89i7>4;h0b3?6=,;8m6?o6;o01a?7<3`8j:7>5$30e>7g>3g89i7<4;h0b1?6=,;8m6?o6;o01a?5<3`8j87>5$30e>7g>3g89i7:4;h0b7?6=,;8m6?o6;o01a?3<3`8j>7>5$30e>7g>3g89i784;h0b5?6=,;8m6?o6;o01a?1<3`8j<7>5$30e>7g>3g89i764;h0:b?6=,;8m6?o6;o01a??<3`82i7>5$30e>7g>3g89i7o4;h0a6?6=,;8m6?o6;o01a?d<3`8i=7>5$30e>7g>3g89i7m4;h0a4?6=,;8m6?o6;o01a?b<3`8jj7>5$30e>7g>3g89i7k4;h0ba?6=,;8m6?o6;o01a?`<3`8jh7>5$30e>7g>3g89i7??;:k1ef<72-89j7<n9:l16`<6921b>ll50;&16c<5i01e>?k51398m7g?290/>?h52`;8j74b28907d<6d;29 74a2;k27c<=e;37?>o5k90;6)<=f;0ab>h5:l0;76g=be83>!45n38ij6`=2d82?>o5jj0;6)<=f;0ab>h5:l0976g=bc83>!45n38ij6`=2d80?>o5jh0;6)<=f;0ab>h5:l0?76g=b883>!45n38ij6`=2d86?>o5j10;6)<=f;0ab>h5:l0=76g=b683>!45n38ij6`=2d84?>o5j?0;6)<=f;0ab>h5:l0376g=b483>!45n38ij6`=2d8:?>o5j=0;6)<=f;0ab>h5:l0j76g=c983>!45n38ij6`=2d8a?>o5k>0;6)<=f;0ab>h5:l0h76g=c783>!45n38ij6`=2d8g?>o5k<0;6)<=f;0ab>h5:l0n76g=c583>!45n38ij6`=2d8e?>o5k:0;6)<=f;0ab>h5:l0:<65f2b094?"5:o09nk5a23g954=<a;i:6=4+23d96g`<f;8n6<<4;h0aa?6=,;8m6?li;o01a?7432c9n>4?:%01b?4en2d9>h4>4:9j137=831b9;>50;9j1ge=831b98h50;9l1g1=83.9>k4:b79m67c=821d9o;50;&16c<2j?1e>?k51:9l1g2=83.9>k4:b79m67c=:21d9o=50;&16c<2j?1e>?k53:9l1g4=83.9>k4:b79m67c=<21d9o?50;&16c<2j?1e>?k55:9l1g6=83.9>k4:b79m67c=>21d9lh50;&16c<2j?1e>?k57:9l1dc=83.9>k4:b79m67c=021d9lj50;&16c<2j?1e>?k59:9l1dd=83.9>k4:b79m67c=i21d9lo50;&16c<2j?1e>?k5b:9l1d?=83.9>k4:b79m67c=k21d9l650;&16c<2j?1e>?k5d:9l1d1=83.9>k4:b79m67c=m21d9l850;&16c<2j?1e>?k5f:9l1d3=83.9>k4:b79m67c=9910c8o;:18'67`==k<0b?<j:038?j3f;3:1(?<i:4`5?k45m3;976a:a383>!45n3?i:6`=2d827>=h=h:1<7*=2g86f3=i:;o1=954o4;e>5<#:;l19o84n30f>43<3f?2i7>5$30e>0d13g89i7?9;:m6=a<72-89j7;m6:l16`<6?21d94m50;&16c<2j?1e>?k51998k0?e290/>?h55c48j74b28307b;6a;29 74a2<h=7c<=e;3b?>i2100;6)<=f;7a2>h5:l0:n65`58:94?"5:o0>n;5a23g95f=<g<3<6=4+23d91g0<f;8n6<j4;n7:1?6=,;8m68l9;o01a?7b32e>594?:%01b?3e>2d9>h4>f:9l1<5=83.9>k4:b79m67c=:910c87=:18'67`==k<0b?<j:338?j3>93:1(?<i:4`5?k45m38976a:9183>!45n3?i:6`=2d817>=h=1l1<7*=2g86f3=i:;o1>954o4:f>5<#:;l19o84n30f>73<3f?3h7>5$30e>0d13g89i7<9;:m6<f<72-89j7;m6:l16`<5?21d95o50;&16c<2j?1e>?k52998k0>>290/>?h55c48j74b2;307b;78;29 74a2<h=7c<=e;0b?>i20>0;6)<=f;7a2>h5:l09n65`59494?"5:o0>n;5a23g96f=<g<2>6=4+23d91g0<f;8n6?j4;n7;0?6=,;8m68l9;o01a?4b32e>4>4?:%01b?3e>2d9>h4=f:9l1=4=83.9>k4:b79m67c=;910c86>:18'67`==k<0b?<j:238?j30n3:1(?<i:4`5?k45m39976a:7d83>!45n3?i:6`=2d807>=h=>n1<7*=2g86f3=i:;o1?954o45`>5<#:;l19o84n30f>63<3f?<n7>5$30e>0d13g89i7=9;:m63d<72-89j7;m6:l16`<4?21d9:750;&16c<2j?1e>?k53998k01?290/>?h55c48j74b2:307b;87;29 74a2<h=7c<=e;1b?>i2??0;6)<=f;7a2>h5:l08n65`5c`94?"5:o0>n;5a23g97f=<g<hj6=4+23d91g0<f;8n6>j4;n7a=?6=,;8m68l9;o01a?5b32e>n54?:%01b?3e>2d9>h4<f:9l1de=83.9>k4:b79m67c=<910c8o>:18'67`==k<0b?<j:538?j3>>3:1(?<i:4`5?k45m3>976a:8c83>!45n3?i:6`=2d877>=h=1:1<7*=2g86f3=i:;o18954o456>5<#:;l19o84n30f>13<3k8h57>57482g0<41kqC>4>4$3:e>db43S2<6>u>1;31>75=u-koh7okc:&b``<flk1b?i4?:%01b?5d3g89i7>4;h1b>5<#:;l1?n5a23g95>=n;00;6)<=f;1`?k45m3807d=7:18'67`=;j1e>?k53:9j73<72-89j7=l;o01a?2<3`9>6=4+23d97f=i:;o1965f3583>!45n39h7c<=e;48?l54290/>?h53b9m67c=?21b??4?:%01b?5d3g89i764;h12>5<#:;l1?n5a23g9=>=n;90;6)<=f;1`?k45m3k07d:::18'67`=;j1e>?k5b:9j01<72-89j7=l;o01a?e<3`>86=4+23d97f=i:;o1h65f4383>!45n39h7c<=e;g8?l26290/>?h53b9m67c=n21b8=4?:%01b?5d3g89i7??;:k0b?6=,;8m6>m4n30f>47<3`9n6=4+23d97f=i:;o1=?54i2`94?"5:o08o6`=2d827>=n:o0;6)<=f;1`?k45m3;?76g:8;29 74a2<=0b?<j:198m03=83.9>k4:7:l16`<632c>87>5$30e>01<f;8n6?54i4194?"5:o0>;6`=2d80?>o293:1(?<i:458j74b2=10e8>50;&16c<2?2d9>h4:;:k7b?6=,;8m6894n30f>3=<a=o1<7*=2g863>h5:l0<76g;d;29 74a2<=0b?<j:998m1e=83.9>k4:7:l16`<>32c?n7>5$30e>01<f;8n6l54i7294?"5:o0>;6`=2d8a?>o2n3:1(?<i:458j74b2j10e8k50;&16c<2?2d9>h4k;:k6`?6=,;8m6894n30f>`=<a<i1<7*=2g863>h5:l0m76g:b;29 74a2<=0b?<j:028?l3f290/>?h5569m67c=9810e8750;&16c<2?2d9>h4>2:9j13<72-89j7;8;o01a?7432c?m7>5$30e>01<f;8n6<:4;hag>5<<aj81<75f29494?=n010;66g=8e83>>i5080;6)<=f;0;4>h5:l0;76a=7g83>!45n383<6`=2d82?>i5?l0;6)<=f;0;4>h5:l0976a=7e83>!45n383<6`=2d80?>i5?j0;6)<=f;0;4>h5:l0?76a=7c83>!45n383<6`=2d86?>i5?h0;6)<=f;0;4>h5:l0=76a=7883>!45n383<6`=2d84?>i5?10;6)<=f;0;4>h5:l0376a=7683>!45n383<6`=2d8:?>i5?<0;6)<=f;0;4>h5:l0j76a=7583>!45n383<6`=2d8a?>i5?:0;6)<=f;0;4>h5:l0h76a=7383>!45n383<6`=2d8g?>i5?80;6)<=f;0;4>h5:l0n76a=7183>!45n383<6`=2d8e?>i5>o0;6)<=f;0;4>h5:l0:<65`27g94?"5:o094=5a23g954=<g;<o6=4+23d96=6<f;8n6<<4;n05g?6=,;8m6?6?;o01a?7432e9:l4?:%01b?4?82d9>h4>4:9l63?=83.9>k4=819m67c=9<10c?87:18'67`=:1:0b?<j:048?j41?3:1(?<i:3:3?k45m3;<76a=6783>!45n383<6`=2d82<>=h:??1<7*=2g81<5=i:;o1=454o347>5<#:;l1>5>4n30f>4g<3f8=?7>5$30e>7>73g89i7?m;:m127<72-89j7<70:l16`<6k21d>;?50;&16c<5091e>?k51e98k73a290/>?h52928j74b28o07b<:e;29 74a2;2;7c<=e;3e?>i5=m0;6)<=f;0;4>h5:l09<65`24a94?"5:o094=5a23g964=<g;?i6=4+23d96=6<f;8n6?<4;n06e?6=,;8m6?6?;o01a?4432e9944?:%01b?4?82d9>h4=4:9l60>=83.9>k4=819m67c=:<10c?;8:18'67`=:1:0b?<j:348?j42>3:1(?<i:3:3?k45m38<76a=5583>!45n383<6`=2d81<>=h:<91<7*=2g81<5=i:;o1>454o371>5<#:;l1>5>4n30f>7g<3f8>=7>5$30e>7>73g89i7<m;:m115<72-89j7<70:l16`<5k21d>9h50;&16c<5091e>?k52e98k72b290/>?h52928j74b2;o07b<;d;29 74a2;2;7c<=e;0e?>i5<j0;6)<=f;0;4>h5:l08<65`25`94?"5:o094=5a23g974=<g;>26=4+23d96=6<f;8n6><4;n07<?6=,;8m6?6?;o01a?5432e98:4?:%01b?4?82d9>h4<4:9l610=83.9>k4=819m67c=;<10c?:::18'67`=:1:0b?<j:248?j43<3:1(?<i:3:3?k45m39<76a=4283>!45n383<6`=2d80<>=h:=81<7*=2g81<5=i:;o1?454o362>5<#:;l1>5>4n30f>6g<3f8?<7>5$30e>7>73g89i7=m;:m1<0<72-89j7<70:l16`<4k21d>5:50;&16c<5091e>?k53e98k7>4290/>?h52928j74b2:o07b<72;29 74a2;2;7c<=e;1e?>i5??0;6)<=f;0;4>h5:l0?<65`27`94?"5:o094=5a23g904=<g;<;6=4+23d96=6<f;8n69<4;n061?6=,;8m6?6?;o01a?2432e98l4?:%01b?4?82d9>h4;4:9l66`=83.9>k4=819m67c=<<10n?mn:182>5<7s-83j7<61:J1=0=O:0:0c<77:188yg4dj3:1=7>50z&1<c<6=91C>4;4H3;3?j73n3:17pl=cb83>43f290;w)<7f;37f>N51<1C>4>4Z959f~402j0:n7?6:88;>4>=9h0j6:4>7;'5<0==>90b?751:l1f?7<f=21=6`;9;38jge=82.ih7?7b:lf6?7<f8>j6=5a19695>h61<0:7c<<0;38 75c2;2n7cm?:19jg3<722c9?:4?::k17=<722ch;7>5;h00=?6=3`i26=44ib794?=hjo0;66g=3c83>>o5;h0;66gld;29?l44>3:17dm7:188mf2=831bno4?::k`e?6=3`i86=44ocg94?=n::?1<75f22a94?=n=:0;6)<=f;71?k45m3:07d;>:18'67`==;1e>?k51:9j15<72-89j7;=;o01a?4<3`>m6=4+23d917=i:;o1?65f4d83>!45n3?97c<=e;68?l2c290/>?h5539m67c==21b8n4?:%01b?353g89i784;h6a>5<#:;l19?5a23g93>=n>90;6)<=f;71?k45m3207d;i:18'67`==;1e>?k59:9j1`<72-89j7;=;o01a?g<3`?o6=4+23d917=i:;o1n65f5b83>!45n3?97c<=e;a8?l3e290/>?h5539m67c=l21b9l4?:%01b?353g89i7k4;h7:>5<#:;l19?5a23g9b>=n=?0;6)<=f;71?k45m3;;76g;a;29 74a2<80b?<j:038?j1c290/>?h57b9m67c=821d;o4?:%01b?1d3g89i7?4;n5b>5<#:;l1;n5a23g96>=h?00;6)<=f;5`?k45m3907b97:18'67`=?j1e>?k54:9l32<72-89j79l;o01a?3<3f==6=4+23d93f=i:;o1:65`7483>!45n3=h7c<=e;58?j>1290/>?h57b9m67c=021d484?:%01b?1d3g89i774;n:7>5<#:;l1;n5a23g9e>=h0:0;6)<=f;5`?k45m3h07b6=:18'67`=?j1e>?k5c:9l<4<72-89j79l;o01a?b<3f2;6=4+23d93f=i:;o1i65`7g83>!45n3=h7c<=e;d8?j1b290/>?h57b9m67c=9910c::50;&16c<0k2d9>h4>1:9j5c0=83.9>k4>f49m67c=821b=k:50;&16c<6n<1e>?k51:9j5c5=83.9>k4>f49m67c=:21b=k<50;&16c<6n<1e>?k53:9j5c7=83.9>k4>f49m67c=<21b=k>50;&16c<6n<1e>?k55:9j5``=83.9>k4>f49m67c=>21b=hk50;&16c<6n<1e>?k57:9j5`e=83.9>k4>f49m67c=021b=hl50;&16c<6n<1e>?k59:9j5`g=83.9>k4>f49m67c=i21b=h750;&16c<6n<1e>?k5b:9j5`>=83.9>k4>f49m67c=k21b=h950;&16c<6n<1e>?k5d:9j5`0=83.9>k4>f49m67c=m21b=h;50;&16c<6n<1e>?k5f:9j5`2=83.9>k4>f49m67c=9910e<k<:18'67`=9o?0b?<j:038?l7b93:1(?<i:0d6?k45m3;976g>e183>!45n3;m96`=2d827>=n9ml1<7*=2g82b0=i:;o1=954i0ff>5<#:;l1=k;4n30f>43<3`;oh7>5$30e>4`23g89i7?9;:k2`f<72-89j7?i5:l16`<6?21b=il50;&16c<6n<1e>?k51998m4bf290/>?h51g78j74b28307d?k9;29 74a28l>7c<=e;3b?>o6l10;6)<=f;3e1>h5:l0:n65f1e494?"5:o0:j85a23g95f=<a8n>6=4+23d95c3<f;8n6<j4;h3g0?6=,;8m6<h:;o01a?7b32c:h>4?:%01b?7a=2d9>h4>f:9j5a4=83.9>k4>f49m67c=:910e<j>:18'67`=9o?0b?<j:338?l7c83:1(?<i:0d6?k45m38976g>cg83>!45n3;m96`=2d817>=n9jo1<7*=2g82b0=i:;o1>954i0ag>5<#:;l1=k;4n30f>73<3`;mo7>5$30e>4`23g89i7<9;:k2bg<72-89j7?i5:l16`<5?21b=ko50;&16c<6n<1e>?k52998m4`>290/>?h51g78j74b2;307d?i8;29 74a28l>7c<=e;0b?>o6n>0;6)<=f;3e1>h5:l09n65f1df94?"5:o0:j85a23g96f=<a8o96=4+23d95c3<f;8n6?j4;h3g3?6=,;8m6<h:;o01a?4b32c:on4?:%01b?7a=2d9>h4=f:9jf1<72-89j7l<;o01a?6<3`h96=4+23d9f6=i:;o1=65fb083>!45n3h87c<=e;08?ld7290/>?h5b29m67c=;21bmk4?:%01b?d43g89i7:4;hcf>5<#:;l1n>5a23g91>=nim0;6)<=f;`0?k45m3<07dol:18'67`=j:1e>?k57:9jed<72-89j7l<;o01a?><3`k26=4+23d9f6=i:;o1565fa983>!45n3h87c<=e;c8?lg0290/>?h5b29m67c=j21bm;4?:%01b?d43g89i7m4;hc6>5<#:;l1n>5a23g9`>=ni=0;6)<=f;`0?k45m3o07do<:18'67`=j:1e>?k5f:9je7<72-89j7l<;o01a?7732cj=7>5$30e>g5<f;8n6<?4;h;e>5<#:;l1n>5a23g957=<a0o1<7*=2g8a7>h5:l0:?65f9e83>!45n3h87c<=e;37?>o>k3:1(?<i:c18j74b28?07d7m:18'67`=j:1e>?k51798m<g=83.9>k4m3:l16`<6?21b544?:%01b?d43g89i7?7;:k:<?6=,;8m6o=4n30f>4?<3`3<6=4+23d9f6=i:;o1=l54i8494?"5:o0i?6`=2d82f>=n1=0;6)<=f;`0?k45m3;h76g63;29 74a2k90b?<j:0f8?l?5290/>?h5b29m67c=9l10e4?50;&16c<e;2d9>h4>f:9j=5<72-89j7l<;o01a?4732c3j7>5$30e>g5<f;8n6??4;h:f>5<#:;l1n>5a23g967=<a1n1<7*=2g8a7>h5:l09?65f8b83>!45n3h87c<=e;07?>o?j3:1(?<i:c18j74b2;?07dln:18'67`=j:1e>?k52798mg?=83.9>k4m3:l16`<5?21bn54?:%01b?d43g89i7<7;:ka3?6=,;8m6o=4n30f>7?<3`h=6=4+23d9f6=i:;o1>l54ic794?"5:o0i?6`=2d81f>=nik0;6)<=f;`0?k45m38h76gn0;29 74a2k90b?<j:3f8?l?2290/>?h5b29m67c=:l10e5o50;&16c<e;2d9>h4=f:9l5f2=83.9>k4>c29m67c=821d=n<50;&16c<6k:1e>?k51:9l5f7=83.9>k4>c29m67c=:21d=n>50;&16c<6k:1e>?k53:9l5g`=83.9>k4>c29m67c=<21d=ok50;&16c<6k:1e>?k55:9l5gb=83.9>k4>c29m67c=>21d=om50;&16c<6k:1e>?k57:9l5gg=83.9>k4>c29m67c=021d=o750;&16c<6k:1e>?k59:9l5g>=83.9>k4>c29m67c=i21d=o950;&16c<6k:1e>?k5b:9l5g0=83.9>k4>c29m67c=k21d=o;50;&16c<6k:1e>?k5d:9l5g2=83.9>k4>c29m67c=m21d=o=50;&16c<6k:1e>?k5f:9l5g4=83.9>k4>c29m67c=9910c<l>:18'67`=9j90b?<j:038?j7fn3:1(?<i:0a0?k45m3;976a>ad83>!45n3;h?6`=2d827>=h9hn1<7*=2g82g6=i:;o1=954o0c`>5<#:;l1=n=4n30f>43<3f;jn7>5$30e>4e43g89i7?9;:m2ed<72-89j7?l3:l16`<6?21d=l750;&16c<6k:1e>?k51998k4g?290/>?h51b18j74b28307b?n7;29 74a28i87c<=e;3b?>i6i?0;6)<=f;3`7>h5:l0:n65`1`694?"5:o0:o>5a23g95f=<g8k86=4+23d95f5<f;8n6<j4;n3b6?6=,;8m6<m<;o01a?7b32e:m<4?:%01b?7d;2d9>h4>f:9l5d6=83.9>k4>c29m67c=:910c<7i:18'67`=9j90b?<j:338?j7>m3:1(?<i:0a0?k45m38976a>9e83>!45n3;h?6`=2d817>=h90i1<7*=2g82g6=i:;o1>954o0;a>5<#:;l1=n=4n30f>73<3f;hm7>5$30e>4e43g89i7<9;:m2g<<72-89j7?l3:l16`<5?21d=n650;&16c<6k:1e>?k52998k4e0290/>?h51b18j74b2;307b?l6;29 74a28i87c<=e;0b?>i6k<0;6)<=f;3`7>h5:l09n65`1c`94?"5:o0:o>5a23g96f=<g8h;6=4+23d95f5<f;8n6?j4;n3b1?6=,;8m6<m<;o01a?4b32e:5l4?:%01b?7d;2d9>h4=f:9j5<2=83.9>k4>929m67c=821b=4<50;&16c<61:1e>?k51:9j5<7=83.9>k4>929m67c=:21b=4>50;&16c<61:1e>?k53:9j5=`=83.9>k4>929m67c=<21b=5k50;&16c<61:1e>?k55:9j5=b=83.9>k4>929m67c=>21b=5m50;&16c<61:1e>?k57:9jaa<72-89j7kl;o01a?6<3`oi6=4+23d9af=i:;o1=65fe`83>!45n3oh7c<=e;08?lc>290/>?h5eb9m67c=;21bi54?:%01b?cd3g89i7:4;hg4>5<#:;l1in5a23g91>=nm?0;6)<=f;g`?k45m3<07dk::18'67`=mj1e>?k57:9jb3<72-89j7kl;o01a?><3`l>6=4+23d9af=i:;o1565ff583>!45n3oh7c<=e;c8?l`4290/>?h5eb9m67c=j21bj?4?:%01b?cd3g89i7m4;hd2>5<#:;l1in5a23g9`>=nn90;6)<=f;g`?k45m3o07dki:18'67`=mj1e>?k5f:9ja`<72-89j7kl;o01a?7732cn87>5$30e>`e<f;8n6<?4;n013?6=,;8m6?<9;o01a?6<3f8997>5$30e>7413g89i7?4;n010?6=,;8m6?<9;o01a?4<3f89?7>5$30e>7413g89i7=4;n016?6=,;8m6?<9;o01a?2<3f89=7>5$30e>7413g89i7;4;n014?6=,;8m6?<9;o01a?0<3f8:j7>5$30e>7413g89i794;n02`?6=,;8m6?<9;o01a?><3f8:o7>5$30e>7413g89i774;n02f?6=,;8m6?<9;o01a?g<3f8:m7>5$30e>7413g89i7l4;n02=?6=,;8m6?<9;o01a?e<3f8:47>5$30e>7413g89i7j4;n023?6=,;8m6?<9;o01a?c<3f8::7>5$30e>7413g89i7h4;n021?6=,;8m6?<9;o01a?7732e9=94?:%01b?45>2d9>h4>1:9l644=83.9>k4=279m67c=9;10c??>:18'67`=:;<0b?<j:018?j4683:1(?<i:305?k45m3;?76a=0g83>!45n389:6`=2d821>=h:9o1<7*=2g8163=i:;o1=;54o32g>5<#:;l1>?84n30f>41<3f8;o7>5$30e>7413g89i7?7;:m14g<72-89j7<=6:l16`<6121d>=o50;&16c<5:?1e>?k51`98k76>290/>?h52348j74b28h07b<?7;29 74a2;8=7c<=e;3`?>i58?0;6)<=f;012>h5:l0:h65`21794?"5:o09>;5a23g95`=<g;:?6=4+23d9670<f;8n6<h4;n037?6=,;8m6?<9;o01a?4732e9<?4?:%01b?45>2d9>h4=1:9l657=83.9>k4=279m67c=:;10c?>?:18'67`=:;<0b?<j:318?j7an3:1(?<i:305?k45m38?76a>fd83>!45n389:6`=2d811>=h:;n1<7*=2g8163=i:;o1>;54o30`>5<#:;l1>?84n30f>71<3f89n7>5$30e>7413g89i7<7;:m16d<72-89j7<=6:l16`<5121d>?750;&16c<5:?1e>?k52`98k74?290/>?h52348j74b2;h07b<>e;29 74a2;8=7c<=e;0`?>i59:0;6)<=f;012>h5:l09h65`21:94?"5:o09>;5a23g96`=<g8lo6=4+23d9670<f;8n6?h4;h1;>5<#:;l1?:5a23g94>=n;?0;6)<=f;14?k45m3;07d=::18'67`=;>1e>?k52:9j71<72-89j7=8;o01a?5<3`986=4+23d972=i:;o1865f3383>!45n39<7c<=e;78?l56290/>?h5369m67c=>21b?=4?:%01b?503g89i794;h66>5<#:;l1?:5a23g9<>=n<=0;6)<=f;14?k45m3307d:<:18'67`=;>1e>?k5a:9j07<72-89j7=8;o01a?d<3`>:6=4+23d972=i:;o1o65f4183>!45n39<7c<=e;f8?l5a290/>?h5369m67c=m21b?h4?:%01b?503g89i7h4;h1a>5<#:;l1?:5a23g955=<a;l1<7*=2g803>h5:l0:=65`16a94?"5:o0:;o5a23g94>=h9>k1<7*=2g823g=i:;o1=65`16;94?"5:o0:;o5a23g96>=h9>21<7*=2g823g=i:;o1?65`16594?"5:o0:;o5a23g90>=h9><1<7*=2g823g=i:;o1965`16694?"5:o0:;o5a23g92>=h9>91<7*=2g823g=i:;o1;65`16094?"5:o0:;o5a23g9<>=h9>;1<7*=2g823g=i:;o1565`16294?"5:o0:;o5a23g9e>=h9?l1<7*=2g823g=i:;o1n65`17g94?"5:o0:;o5a23g9g>=h9?n1<7*=2g823g=i:;o1h65`17a94?"5:o0:;o5a23g9a>=h9?h1<7*=2g823g=i:;o1j65`17;94?"5:o0:;o5a23g955=<g8<36=4+23d952d<f;8n6<?4;n353?6=,;8m6<9m;o01a?7532e::;4?:%01b?70j2d9>h4>3:9l533=83.9>k4>7c9m67c=9=10c<8;:18'67`=9>h0b?<j:078?j71;3:1(?<i:05a?k45m3;=76a>6383>!45n3;<n6`=2d823>=h9?;1<7*=2g823g=i:;o1=554o043>5<#:;l1=:l4n30f>4?<3f;3?7>5$30e>41e3g89i7?n;:m2<7<72-89j7?8b:l16`<6j21d=5?50;&16c<6?k1e>?k51b98k4>7290/>?h516`8j74b28n07b?8f;29 74a28=i7c<=e;3f?>i6?l0;6)<=f;34f>h5:l0:j65`16f94?"5:o0:;o5a23g965=<g8=>6=4+23d952d<f;8n6??4;n35e?6=,;8m6<9m;o01a?4532e:9k4?:%01b?70j2d9>h4=3:9j2d<72-89j786;o01a?6<@;2276g98;29 74a2?30b?<j:09K6=?<3`<<6=4+23d92<=i:;o1>6F=8898m30=83.9>k499:l16`<43A83565f6483>!45n3<27c<=e;68L7>>32c=87>5$30e>3?<f;8n685G29;8?l04290/>?h5689m67c=>2B94454i7094?"5:o0=56`=2d84?M4?121b;>4?:%01b?0>3g89i764H3::?>o0:3:1(?<i:7;8j74b201C>574;h52>5<#:;l1:45a23g9e>N50010e:>50;&16c<112d9>h4m;I0;=>=n>o0;6)<=f;4:?k45m3i0D?66;:k5a?6=,;8m6;74n30f>a=O:1307d8k:18'67`=>01e>?k5e:J1<<=<a?i1<7*=2g85=>h5:l0m7E<79:9j2g<72-89j786;o01a?773A83565f6083>!45n3<27c<=e;32?M4?121vn?mk:1821d<729q/>5h515`8L7?23A82<6T77;`x62<d28h1=446:982<?7f2h0<6<95}%3:2?30;2d957?4n3`95>h303;0b9751:lag?6<,kn1=5l4nd095>h6<h0;7c?74;38j4?2281e>>>51:&17a<50l1eo=4?;ha5>5<<a;9<6=44i31;>5<<aj=1<75f22;94?=nk00;66gl5;29?jda2900e?=m:188m75f2900enj50;9j660=831bo54?::k`0?6=3`hi6=44ibc94?=nk:0;66ame;29?l44=3:17d<<c;29?l34290/>?h5539m67c=821b9<4?:%01b?353g89i7?4;h73>5<#:;l19?5a23g96>=n<o0;6)<=f;71?k45m3907d:j:18'67`==;1e>?k54:9j0a<72-89j7;=;o01a?3<3`>h6=4+23d917=i:;o1:65f4c83>!45n3?97c<=e;58?l07290/>?h5539m67c=021b9k4?:%01b?353g89i774;h7f>5<#:;l19?5a23g9e>=n=m0;6)<=f;71?k45m3h07d;l:18'67`==;1e>?k5c:9j1g<72-89j7;=;o01a?b<3`?j6=4+23d917=i:;o1i65f5883>!45n3?97c<=e;d8?l31290/>?h5539m67c=9910e9o50;&16c<2:2d9>h4>1:9l3a<72-89j79l;o01a?6<3f=i6=4+23d93f=i:;o1=65`7`83>!45n3=h7c<=e;08?j1>290/>?h57b9m67c=;21d;54?:%01b?1d3g89i7:4;n54>5<#:;l1;n5a23g91>=h??0;6)<=f;5`?k45m3<07b9::18'67`=?j1e>?k57:9l<3<72-89j79l;o01a?><3f2>6=4+23d93f=i:;o1565`8583>!45n3=h7c<=e;c8?j>4290/>?h57b9m67c=j21d4?4?:%01b?1d3g89i7m4;n:2>5<#:;l1;n5a23g9`>=h090;6)<=f;5`?k45m3o07b9i:18'67`=?j1e>?k5f:9l3`<72-89j79l;o01a?7732e<87>5$30e>2e<f;8n6<?4;h3e2?6=,;8m6<h:;o01a?6<3`;m87>5$30e>4`23g89i7?4;h3e7?6=,;8m6<h:;o01a?4<3`;m>7>5$30e>4`23g89i7=4;h3e5?6=,;8m6<h:;o01a?2<3`;m<7>5$30e>4`23g89i7;4;h3fb?6=,;8m6<h:;o01a?0<3`;ni7>5$30e>4`23g89i794;h3fg?6=,;8m6<h:;o01a?><3`;nn7>5$30e>4`23g89i774;h3fe?6=,;8m6<h:;o01a?g<3`;n57>5$30e>4`23g89i7l4;h3f<?6=,;8m6<h:;o01a?e<3`;n;7>5$30e>4`23g89i7j4;h3f2?6=,;8m6<h:;o01a?c<3`;n97>5$30e>4`23g89i7h4;h3f0?6=,;8m6<h:;o01a?7732c:i>4?:%01b?7a=2d9>h4>1:9j5`7=83.9>k4>f49m67c=9;10e<k?:18'67`=9o?0b?<j:018?l7cn3:1(?<i:0d6?k45m3;?76g>dd83>!45n3;m96`=2d821>=n9mn1<7*=2g82b0=i:;o1=;54i0f`>5<#:;l1=k;4n30f>41<3`;on7>5$30e>4`23g89i7?7;:k2`d<72-89j7?i5:l16`<6121b=i750;&16c<6n<1e>?k51`98m4b?290/>?h51g78j74b28h07d?k6;29 74a28l>7c<=e;3`?>o6l<0;6)<=f;3e1>h5:l0:h65f1e694?"5:o0:j85a23g95`=<a8n86=4+23d95c3<f;8n6<h4;h3g6?6=,;8m6<h:;o01a?4732c:h<4?:%01b?7a=2d9>h4=1:9j5a6=83.9>k4>f49m67c=:;10e<mi:18'67`=9o?0b?<j:318?l7dm3:1(?<i:0d6?k45m38?76g>ce83>!45n3;m96`=2d811>=n9oi1<7*=2g82b0=i:;o1>;54i0da>5<#:;l1=k;4n30f>71<3`;mm7>5$30e>4`23g89i7<7;:k2b<<72-89j7?i5:l16`<5121b=k650;&16c<6n<1e>?k52`98m4`0290/>?h51g78j74b2;h07d?jd;29 74a28l>7c<=e;0`?>o6m;0;6)<=f;3e1>h5:l09h65f1e594?"5:o0:j85a23g96`=<a8ih6=4+23d95c3<f;8n6?h4;h`7>5<#:;l1n>5a23g94>=nj;0;6)<=f;`0?k45m3;07dl>:18'67`=j:1e>?k52:9jf5<72-89j7l<;o01a?5<3`km6=4+23d9f6=i:;o1865fad83>!45n3h87c<=e;78?lgc290/>?h5b29m67c=>21bmn4?:%01b?d43g89i794;hcb>5<#:;l1n>5a23g9<>=ni00;6)<=f;`0?k45m3307do7:18'67`=j:1e>?k5a:9je2<72-89j7l<;o01a?d<3`k=6=4+23d9f6=i:;o1o65fa483>!45n3h87c<=e;f8?lg3290/>?h5b29m67c=m21bm>4?:%01b?d43g89i7h4;hc1>5<#:;l1n>5a23g955=<ah;1<7*=2g8a7>h5:l0:=65f9g83>!45n3h87c<=e;31?>o>m3:1(?<i:c18j74b28907d7k:18'67`=j:1e>?k51598m<e=83.9>k4m3:l16`<6=21b5o4?:%01b?d43g89i7?9;:k:e?6=,;8m6o=4n30f>41<3`326=4+23d9f6=i:;o1=554i8:94?"5:o0i?6`=2d82=>=n1>0;6)<=f;`0?k45m3;j76g66;29 74a2k90b?<j:0`8?l?3290/>?h5b29m67c=9j10e4=50;&16c<e;2d9>h4>d:9j=7<72-89j7l<;o01a?7b32c2=7>5$30e>g5<f;8n6<h4;h;3>5<#:;l1n>5a23g965=<a1l1<7*=2g8a7>h5:l09=65f8d83>!45n3h87c<=e;01?>o?l3:1(?<i:c18j74b2;907d6l:18'67`=j:1e>?k52598m=d=83.9>k4m3:l16`<5=21bnl4?:%01b?d43g89i7<9;:ka=?6=,;8m6o=4n30f>71<3`h36=4+23d9f6=i:;o1>554ic594?"5:o0i?6`=2d81=>=nj?0;6)<=f;`0?k45m38j76gm5;29 74a2k90b?<j:3`8?lge290/>?h5b29m67c=:j10el>50;&16c<e;2d9>h4=d:9j=0<72-89j7l<;o01a?4b32c3m7>5$30e>g5<f;8n6?h4;n3`0?6=,;8m6<m<;o01a?6<3f;h>7>5$30e>4e43g89i7?4;n3`5?6=,;8m6<m<;o01a?4<3f;h<7>5$30e>4e43g89i7=4;n3ab?6=,;8m6<m<;o01a?2<3f;ii7>5$30e>4e43g89i7;4;n3a`?6=,;8m6<m<;o01a?0<3f;io7>5$30e>4e43g89i794;n3ae?6=,;8m6<m<;o01a?><3f;i57>5$30e>4e43g89i774;n3a<?6=,;8m6<m<;o01a?g<3f;i;7>5$30e>4e43g89i7l4;n3a2?6=,;8m6<m<;o01a?e<3f;i97>5$30e>4e43g89i7j4;n3a0?6=,;8m6<m<;o01a?c<3f;i?7>5$30e>4e43g89i7h4;n3a6?6=,;8m6<m<;o01a?7732e:n<4?:%01b?7d;2d9>h4>1:9l5d`=83.9>k4>c29m67c=9;10c<oj:18'67`=9j90b?<j:018?j7fl3:1(?<i:0a0?k45m3;?76a>ab83>!45n3;h?6`=2d821>=h9hh1<7*=2g82g6=i:;o1=;54o0cb>5<#:;l1=n=4n30f>41<3f;j57>5$30e>4e43g89i7?7;:m2e=<72-89j7?l3:l16`<6121d=l950;&16c<6k:1e>?k51`98k4g1290/>?h51b18j74b28h07b?n4;29 74a28i87c<=e;3`?>i6i:0;6)<=f;3`7>h5:l0:h65`1`094?"5:o0:o>5a23g95`=<g8k:6=4+23d95f5<f;8n6<h4;n3b4?6=,;8m6<m<;o01a?4732e:5k4?:%01b?7d;2d9>h4=1:9l5<c=83.9>k4>c29m67c=:;10c<7k:18'67`=9j90b?<j:318?j7>k3:1(?<i:0a0?k45m38?76a>9c83>!45n3;h?6`=2d811>=h9jk1<7*=2g82g6=i:;o1>;54o0a:>5<#:;l1=n=4n30f>71<3f;h47>5$30e>4e43g89i7<7;:m2g2<72-89j7?l3:l16`<5121d=n850;&16c<6k:1e>?k52`98k4e2290/>?h51b18j74b2;h07b?mb;29 74a28i87c<=e;0`?>i6j90;6)<=f;3`7>h5:l09h65`1`794?"5:o0:o>5a23g96`=<g83j6=4+23d95f5<f;8n6?h4;h3:0?6=,;8m6<7<;o01a?6<3`;2>7>5$30e>4?43g89i7?4;h3:5?6=,;8m6<7<;o01a?4<3`;2<7>5$30e>4?43g89i7=4;h3;b?6=,;8m6<7<;o01a?2<3`;3i7>5$30e>4?43g89i7;4;h3;`?6=,;8m6<7<;o01a?0<3`;3o7>5$30e>4?43g89i794;hgg>5<#:;l1in5a23g94>=nmk0;6)<=f;g`?k45m3;07dkn:18'67`=mj1e>?k52:9ja<<72-89j7kl;o01a?5<3`o36=4+23d9af=i:;o1865fe683>!45n3oh7c<=e;78?lc1290/>?h5eb9m67c=>21bi84?:%01b?cd3g89i794;hd5>5<#:;l1in5a23g9<>=nn<0;6)<=f;g`?k45m3307dh;:18'67`=mj1e>?k5a:9jb6<72-89j7kl;o01a?d<3`l96=4+23d9af=i:;o1o65ff083>!45n3oh7c<=e;f8?l`7290/>?h5eb9m67c=m21bik4?:%01b?cd3g89i7h4;hgf>5<#:;l1in5a23g955=<al>1<7*=2g8fg>h5:l0:=65`23594?"5:o09>;5a23g94>=h:;?1<7*=2g8163=i:;o1=65`23694?"5:o09>;5a23g96>=h:;91<7*=2g8163=i:;o1?65`23094?"5:o09>;5a23g90>=h:;;1<7*=2g8163=i:;o1965`23294?"5:o09>;5a23g92>=h:8l1<7*=2g8163=i:;o1;65`20f94?"5:o09>;5a23g9<>=h:8i1<7*=2g8163=i:;o1565`20`94?"5:o09>;5a23g9e>=h:8k1<7*=2g8163=i:;o1n65`20;94?"5:o09>;5a23g9g>=h:821<7*=2g8163=i:;o1h65`20594?"5:o09>;5a23g9a>=h:8<1<7*=2g8163=i:;o1j65`20794?"5:o09>;5a23g955=<g;;?6=4+23d9670<f;8n6<?4;n026?6=,;8m6?<9;o01a?7532e9=<4?:%01b?45>2d9>h4>3:9l646=83.9>k4=279m67c=9=10c?>i:18'67`=:;<0b?<j:078?j47m3:1(?<i:305?k45m3;=76a=0e83>!45n389:6`=2d823>=h:9i1<7*=2g8163=i:;o1=554o32a>5<#:;l1>?84n30f>4?<3f8;m7>5$30e>7413g89i7?n;:m14<<72-89j7<=6:l16`<6j21d>=950;&16c<5:?1e>?k51b98k761290/>?h52348j74b28n07b<?5;29 74a2;8=7c<=e;3f?>i58=0;6)<=f;012>h5:l0:j65`21194?"5:o09>;5a23g965=<g;:96=4+23d9670<f;8n6??4;n035?6=,;8m6?<9;o01a?4532e9<=4?:%01b?45>2d9>h4=3:9l5c`=83.9>k4=279m67c=:=10c<hj:18'67`=:;<0b?<j:378?j45l3:1(?<i:305?k45m38=76a=2b83>!45n389:6`=2d813>=h:;h1<7*=2g8163=i:;o1>554o30b>5<#:;l1>?84n30f>7?<3f8957>5$30e>7413g89i7<n;:m16=<72-89j7<=6:l16`<5j21d><k50;&16c<5:?1e>?k52b98k774290/>?h52348j74b2;n07b<?8;29 74a2;8=7c<=e;0f?>i6nm0;6)<=f;012>h5:l09j65f3983>!45n39<7c<=e;28?l51290/>?h5369m67c=921b?84?:%01b?503g89i7<4;h17>5<#:;l1?:5a23g97>=n;:0;6)<=f;14?k45m3>07d==:18'67`=;>1e>?k55:9j74<72-89j7=8;o01a?0<3`9;6=4+23d972=i:;o1;65f4483>!45n39<7c<=e;:8?l23290/>?h5369m67c=121b8>4?:%01b?503g89i7o4;h61>5<#:;l1?:5a23g9f>=n<80;6)<=f;14?k45m3i07d:?:18'67`=;>1e>?k5d:9j7c<72-89j7=8;o01a?c<3`9n6=4+23d972=i:;o1j65f3c83>!45n39<7c<=e;33?>o5n3:1(?<i:258j74b28;07b?8c;29 74a28=i7c<=e;28?j70i3:1(?<i:05a?k45m3;07b?89;29 74a28=i7c<=e;08?j7003:1(?<i:05a?k45m3907b?87;29 74a28=i7c<=e;68?j70>3:1(?<i:05a?k45m3?07b?84;29 74a28=i7c<=e;48?j70;3:1(?<i:05a?k45m3=07b?82;29 74a28=i7c<=e;:8?j7093:1(?<i:05a?k45m3307b?80;29 74a28=i7c<=e;c8?j71n3:1(?<i:05a?k45m3h07b?9e;29 74a28=i7c<=e;a8?j71l3:1(?<i:05a?k45m3n07b?9c;29 74a28=i7c<=e;g8?j71j3:1(?<i:05a?k45m3l07b?99;29 74a28=i7c<=e;33?>i6>10;6)<=f;34f>h5:l0:=65`17594?"5:o0:;o5a23g957=<g8<=6=4+23d952d<f;8n6<=4;n351?6=,;8m6<9m;o01a?7332e::94?:%01b?70j2d9>h4>5:9l535=83.9>k4>7c9m67c=9?10c<8=:18'67`=9>h0b?<j:058?j7193:1(?<i:05a?k45m3;376a>6183>!45n3;<n6`=2d82=>=h9191<7*=2g823g=i:;o1=l54o0:1>5<#:;l1=:l4n30f>4d<3f;3=7>5$30e>41e3g89i7?l;:m2<5<72-89j7?8b:l16`<6l21d=:h50;&16c<6?k1e>?k51d98k41b290/>?h516`8j74b28l07b?8d;29 74a28=i7c<=e;03?>i6?<0;6)<=f;34f>h5:l09=65`17c94?"5:o0:;o5a23g967=<g8?m6=4+23d952d<f;8n6?=4;h4b>5<#:;l1:45a23g94>N50010e;650;&16c<112d9>h4>;I0;=>=n>>0;6)<=f;4:?k45m380D?66;:k52?6=,;8m6;74n30f>6=O:1307d8::18'67`=>01e>?k54:J1<<=<a?>1<7*=2g85=>h5:l0>7E<79:9j26<72-89j786;o01a?0<@;2276g92;29 74a2?30b?<j:69K6=?<3`=86=4+23d92<=i:;o146F=8898m24=83.9>k499:l16`<>3A83565f7083>!45n3<27c<=e;c8L7>>32c<<7>5$30e>3?<f;8n6o5G29;8?l0a290/>?h5689m67c=k2B94454i7g94?"5:o0=56`=2d8g?M4?121b:i4?:%01b?0>3g89i7k4H3::?>o1k3:1(?<i:7;8j74b2o1C>574;h4a>5<#:;l1:45a23g955=O:1307d8>:18'67`=>01e>?k5109K6=?<3th9oh4?:07b>5<7s-83j7?;b:J1=0=O:0:0V595bz04>f<6j3;26447:0:95d<f2>0:;7s+1849125<f;31=6`=b;38j1>=92d?57?4nca94>"el3;3n6`j2;28j42f291e=5:51:l2=0<63g88<7?4$31g>7>b3gi;6=5fc783>>o5;>0;66g=3983>>od?3:17d<<9;29?le>2900en;50;9lfc<722c9?o4?::k17d<722chh7>5;h002?6=3`i36=44ib694?=njk0;66gla;29?le42900cok50;9j663=831b>>m50;9j16<72-89j7;=;o01a?6<3`?:6=4+23d917=i:;o1=65f5183>!45n3?97c<=e;08?l2a290/>?h5539m67c=;21b8h4?:%01b?353g89i7:4;h6g>5<#:;l19?5a23g91>=n<j0;6)<=f;71?k45m3<07d:m:18'67`==;1e>?k57:9j25<72-89j7;=;o01a?><3`?m6=4+23d917=i:;o1565f5d83>!45n3?97c<=e;c8?l3c290/>?h5539m67c=j21b9n4?:%01b?353g89i7m4;h7a>5<#:;l19?5a23g9`>=n=h0;6)<=f;71?k45m3o07d;6:18'67`==;1e>?k5f:9j13<72-89j7;=;o01a?7732c?m7>5$30e>04<f;8n6<?4;n5g>5<#:;l1;n5a23g94>=h?k0;6)<=f;5`?k45m3;07b9n:18'67`=?j1e>?k52:9l3<<72-89j79l;o01a?5<3f=36=4+23d93f=i:;o1865`7683>!45n3=h7c<=e;78?j11290/>?h57b9m67c=>21d;84?:%01b?1d3g89i794;n:5>5<#:;l1;n5a23g9<>=h0<0;6)<=f;5`?k45m3307b6;:18'67`=?j1e>?k5a:9l<6<72-89j79l;o01a?d<3f296=4+23d93f=i:;o1o65`8083>!45n3=h7c<=e;f8?j>7290/>?h57b9m67c=m21d;k4?:%01b?1d3g89i7h4;n5f>5<#:;l1;n5a23g955=<g>>1<7*=2g84g>h5:l0:=65f1g494?"5:o0:j85a23g94>=n9o>1<7*=2g82b0=i:;o1=65f1g194?"5:o0:j85a23g96>=n9o81<7*=2g82b0=i:;o1?65f1g394?"5:o0:j85a23g90>=n9o:1<7*=2g82b0=i:;o1965f1dd94?"5:o0:j85a23g92>=n9lo1<7*=2g82b0=i:;o1;65f1da94?"5:o0:j85a23g9<>=n9lh1<7*=2g82b0=i:;o1565f1dc94?"5:o0:j85a23g9e>=n9l31<7*=2g82b0=i:;o1n65f1d:94?"5:o0:j85a23g9g>=n9l=1<7*=2g82b0=i:;o1h65f1d494?"5:o0:j85a23g9a>=n9l?1<7*=2g82b0=i:;o1j65f1d694?"5:o0:j85a23g955=<a8o86=4+23d95c3<f;8n6<?4;h3f5?6=,;8m6<h:;o01a?7532c:i=4?:%01b?7a=2d9>h4>3:9j5a`=83.9>k4>f49m67c=9=10e<jj:18'67`=9o?0b?<j:078?l7cl3:1(?<i:0d6?k45m3;=76g>db83>!45n3;m96`=2d823>=n9mh1<7*=2g82b0=i:;o1=554i0fb>5<#:;l1=k;4n30f>4?<3`;o57>5$30e>4`23g89i7?n;:k2`=<72-89j7?i5:l16`<6j21b=i850;&16c<6n<1e>?k51b98m4b2290/>?h51g78j74b28n07d?k4;29 74a28l>7c<=e;3f?>o6l:0;6)<=f;3e1>h5:l0:j65f1e094?"5:o0:j85a23g965=<a8n:6=4+23d95c3<f;8n6??4;h3g4?6=,;8m6<h:;o01a?4532c:ok4?:%01b?7a=2d9>h4=3:9j5fc=83.9>k4>f49m67c=:=10e<mk:18'67`=9o?0b?<j:378?l7ak3:1(?<i:0d6?k45m38=76g>fc83>!45n3;m96`=2d813>=n9ok1<7*=2g82b0=i:;o1>554i0d:>5<#:;l1=k;4n30f>7?<3`;m47>5$30e>4`23g89i7<n;:k2b2<72-89j7?i5:l16`<5j21b=hj50;&16c<6n<1e>?k52b98m4c5290/>?h51g78j74b2;n07d?k7;29 74a28l>7c<=e;0f?>o6kj0;6)<=f;3e1>h5:l09j65fb583>!45n3h87c<=e;28?ld5290/>?h5b29m67c=921bn<4?:%01b?d43g89i7<4;h`3>5<#:;l1n>5a23g97>=nio0;6)<=f;`0?k45m3>07doj:18'67`=j:1e>?k55:9jea<72-89j7l<;o01a?0<3`kh6=4+23d9f6=i:;o1;65fa`83>!45n3h87c<=e;:8?lg>290/>?h5b29m67c=121bm54?:%01b?d43g89i7o4;hc4>5<#:;l1n>5a23g9f>=ni?0;6)<=f;`0?k45m3i07do::18'67`=j:1e>?k5d:9je1<72-89j7l<;o01a?c<3`k86=4+23d9f6=i:;o1j65fa383>!45n3h87c<=e;33?>of93:1(?<i:c18j74b28;07d7i:18'67`=j:1e>?k51398m<c=83.9>k4m3:l16`<6;21b5i4?:%01b?d43g89i7?;;:k:g?6=,;8m6o=4n30f>43<3`3i6=4+23d9f6=i:;o1=;54i8c94?"5:o0i?6`=2d823>=n100;6)<=f;`0?k45m3;376g68;29 74a2k90b?<j:0;8?l?0290/>?h5b29m67c=9h10e4850;&16c<e;2d9>h4>b:9j=1<72-89j7l<;o01a?7d32c2?7>5$30e>g5<f;8n6<j4;h;1>5<#:;l1n>5a23g95`=<a0;1<7*=2g8a7>h5:l0:j65f9183>!45n3h87c<=e;03?>o?n3:1(?<i:c18j74b2;;07d6j:18'67`=j:1e>?k52398m=b=83.9>k4m3:l16`<5;21b4n4?:%01b?d43g89i7<;;:k;f?6=,;8m6o=4n30f>73<3`hj6=4+23d9f6=i:;o1>;54ic;94?"5:o0i?6`=2d813>=nj10;6)<=f;`0?k45m38376gm7;29 74a2k90b?<j:3;8?ld1290/>?h5b29m67c=:h10eo;50;&16c<e;2d9>h4=b:9jeg<72-89j7l<;o01a?4d32cj<7>5$30e>g5<f;8n6?j4;h;6>5<#:;l1n>5a23g96`=<a1k1<7*=2g8a7>h5:l09j65`1b694?"5:o0:o>5a23g94>=h9j81<7*=2g82g6=i:;o1=65`1b394?"5:o0:o>5a23g96>=h9j:1<7*=2g82g6=i:;o1?65`1cd94?"5:o0:o>5a23g90>=h9ko1<7*=2g82g6=i:;o1965`1cf94?"5:o0:o>5a23g92>=h9ki1<7*=2g82g6=i:;o1;65`1cc94?"5:o0:o>5a23g9<>=h9k31<7*=2g82g6=i:;o1565`1c:94?"5:o0:o>5a23g9e>=h9k=1<7*=2g82g6=i:;o1n65`1c494?"5:o0:o>5a23g9g>=h9k?1<7*=2g82g6=i:;o1h65`1c694?"5:o0:o>5a23g9a>=h9k91<7*=2g82g6=i:;o1j65`1c094?"5:o0:o>5a23g955=<g8h:6=4+23d95f5<f;8n6<?4;n3bb?6=,;8m6<m<;o01a?7532e:mh4?:%01b?7d;2d9>h4>3:9l5db=83.9>k4>c29m67c=9=10c<ol:18'67`=9j90b?<j:078?j7fj3:1(?<i:0a0?k45m3;=76a>a`83>!45n3;h?6`=2d823>=h9h31<7*=2g82g6=i:;o1=554o0c;>5<#:;l1=n=4n30f>4?<3f;j;7>5$30e>4e43g89i7?n;:m2e3<72-89j7?l3:l16`<6j21d=l:50;&16c<6k:1e>?k51b98k4g4290/>?h51b18j74b28n07b?n2;29 74a28i87c<=e;3f?>i6i80;6)<=f;3`7>h5:l0:j65`1`294?"5:o0:o>5a23g965=<g83m6=4+23d95f5<f;8n6??4;n3:a?6=,;8m6<m<;o01a?4532e:5i4?:%01b?7d;2d9>h4=3:9l5<e=83.9>k4>c29m67c=:=10c<7m:18'67`=9j90b?<j:378?j7di3:1(?<i:0a0?k45m38=76a>c883>!45n3;h?6`=2d813>=h9j21<7*=2g82g6=i:;o1>554o0a4>5<#:;l1=n=4n30f>7?<3f;h:7>5$30e>4e43g89i7<n;:m2g0<72-89j7?l3:l16`<5j21d=ol50;&16c<6k:1e>?k52b98k4d7290/>?h51b18j74b2;n07b?n5;29 74a28i87c<=e;0f?>i61h0;6)<=f;3`7>h5:l09j65f18694?"5:o0:5>5a23g94>=n9081<7*=2g82=6=i:;o1=65f18394?"5:o0:5>5a23g96>=n90:1<7*=2g82=6=i:;o1?65f19d94?"5:o0:5>5a23g90>=n91o1<7*=2g82=6=i:;o1965f19f94?"5:o0:5>5a23g92>=n91i1<7*=2g82=6=i:;o1;65fee83>!45n3oh7c<=e;28?lce290/>?h5eb9m67c=921bil4?:%01b?cd3g89i7<4;hg:>5<#:;l1in5a23g97>=nm10;6)<=f;g`?k45m3>07dk8:18'67`=mj1e>?k55:9ja3<72-89j7kl;o01a?0<3`o>6=4+23d9af=i:;o1;65ff783>!45n3oh7c<=e;:8?l`2290/>?h5eb9m67c=121bj94?:%01b?cd3g89i7o4;hd0>5<#:;l1in5a23g9f>=nn;0;6)<=f;g`?k45m3i07dh>:18'67`=mj1e>?k5d:9jb5<72-89j7kl;o01a?c<3`om6=4+23d9af=i:;o1j65fed83>!45n3oh7c<=e;33?>ob<3:1(?<i:da8j74b28;07b<=7;29 74a2;8=7c<=e;28?j45=3:1(?<i:305?k45m3;07b<=4;29 74a2;8=7c<=e;08?j45;3:1(?<i:305?k45m3907b<=2;29 74a2;8=7c<=e;68?j4593:1(?<i:305?k45m3?07b<=0;29 74a2;8=7c<=e;48?j46n3:1(?<i:305?k45m3=07b<>d;29 74a2;8=7c<=e;:8?j46k3:1(?<i:305?k45m3307b<>b;29 74a2;8=7c<=e;c8?j46i3:1(?<i:305?k45m3h07b<>9;29 74a2;8=7c<=e;a8?j4603:1(?<i:305?k45m3n07b<>7;29 74a2;8=7c<=e;g8?j46>3:1(?<i:305?k45m3l07b<>5;29 74a2;8=7c<=e;33?>i59=0;6)<=f;012>h5:l0:=65`20094?"5:o09>;5a23g957=<g;;:6=4+23d9670<f;8n6<=4;n024?6=,;8m6?<9;o01a?7332e9<k4?:%01b?45>2d9>h4>5:9l65c=83.9>k4=279m67c=9?10c?>k:18'67`=:;<0b?<j:058?j47k3:1(?<i:305?k45m3;376a=0c83>!45n389:6`=2d82=>=h:9k1<7*=2g8163=i:;o1=l54o32:>5<#:;l1>?84n30f>4d<3f8;;7>5$30e>7413g89i7?l;:m143<72-89j7<=6:l16`<6l21d>=;50;&16c<5:?1e>?k51d98k763290/>?h52348j74b28l07b<?3;29 74a2;8=7c<=e;03?>i58;0;6)<=f;012>h5:l09=65`21394?"5:o09>;5a23g967=<g;:;6=4+23d9670<f;8n6?=4;n3eb?6=,;8m6?<9;o01a?4332e:jh4?:%01b?45>2d9>h4=5:9l67b=83.9>k4=279m67c=:?10c?<l:18'67`=:;<0b?<j:358?j45j3:1(?<i:305?k45m38376a=2`83>!45n389:6`=2d81=>=h:;31<7*=2g8163=i:;o1>l54o30;>5<#:;l1>?84n30f>7d<3f8:i7>5$30e>7413g89i7<l;:m156<72-89j7<=6:l16`<5l21d>=650;&16c<5:?1e>?k52d98k4`c290/>?h52348j74b2;l07d=7:18'67`=;>1e>?k50:9j73<72-89j7=8;o01a?7<3`9>6=4+23d972=i:;o1>65f3583>!45n39<7c<=e;18?l54290/>?h5369m67c=<21b??4?:%01b?503g89i7;4;h12>5<#:;l1?:5a23g92>=n;90;6)<=f;14?k45m3=07d:::18'67`=;>1e>?k58:9j01<72-89j7=8;o01a??<3`>86=4+23d972=i:;o1m65f4383>!45n39<7c<=e;`8?l26290/>?h5369m67c=k21b8=4?:%01b?503g89i7j4;h1e>5<#:;l1?:5a23g9a>=n;l0;6)<=f;14?k45m3l07d=m:18'67`=;>1e>?k51198m7`=83.9>k4<7:l16`<6921d=:m50;&16c<6?k1e>?k50:9l52g=83.9>k4>7c9m67c=921d=:750;&16c<6?k1e>?k52:9l52>=83.9>k4>7c9m67c=;21d=:950;&16c<6?k1e>?k54:9l520=83.9>k4>7c9m67c==21d=::50;&16c<6?k1e>?k56:9l525=83.9>k4>7c9m67c=?21d=:<50;&16c<6?k1e>?k58:9l527=83.9>k4>7c9m67c=121d=:>50;&16c<6?k1e>?k5a:9l53`=83.9>k4>7c9m67c=j21d=;k50;&16c<6?k1e>?k5c:9l53b=83.9>k4>7c9m67c=l21d=;m50;&16c<6?k1e>?k5e:9l53d=83.9>k4>7c9m67c=n21d=;750;&16c<6?k1e>?k51198k40?290/>?h516`8j74b28;07b?97;29 74a28=i7c<=e;31?>i6>?0;6)<=f;34f>h5:l0:?65`17794?"5:o0:;o5a23g951=<g8<?6=4+23d952d<f;8n6<;4;n357?6=,;8m6<9m;o01a?7132e::?4?:%01b?70j2d9>h4>7:9l537=83.9>k4>7c9m67c=9110c<8?:18'67`=9>h0b?<j:0;8?j7?;3:1(?<i:05a?k45m3;j76a>8383>!45n3;<n6`=2d82f>=h91;1<7*=2g823g=i:;o1=n54o0:3>5<#:;l1=:l4n30f>4b<3f;<j7>5$30e>41e3g89i7?j;:m23`<72-89j7?8b:l16`<6n21d=:j50;&16c<6?k1e>?k52198k412290/>?h516`8j74b2;;07b?9a;29 74a28=i7c<=e;01?>i6=o0;6)<=f;34f>h5:l09?65f6`83>!45n3<27c<=e;28L7>>32c=47>5$30e>3?<f;8n6<5G29;8?l00290/>?h5689m67c=:2B94454i7494?"5:o0=56`=2d80?M4?121b:84?:%01b?0>3g89i7:4H3::?>o1<3:1(?<i:7;8j74b2<1C>574;h40>5<#:;l1:45a23g92>N50010e;<50;&16c<112d9>h48;I0;=>=n?:0;6)<=f;4:?k45m320D?66;:k46?6=,;8m6;74n30f><=O:1307d9>:18'67`=>01e>?k5a:J1<<=<a>:1<7*=2g85=>h5:l0i7E<79:9j2c<72-89j786;o01a?e<@;2276g9e;29 74a2?30b?<j:e9K6=?<3`<o6=4+23d92<=i:;o1i6F=8898m3e=83.9>k499:l16`<a3A83565f6c83>!45n3<27c<=e;33?M4?121b:<4?:%01b?0>3g89i7?>;I0;=>=zj;im6=4>5`83>5}#:1l1=9l4H3;6?M4>82P3;7lt268`>4d=9002654>8;3b>d<028=1q)?66;747>h513:0b?l51:l7<?6<f=31=6`mc;28 gb=91h0bh<50:l20d<73g;387?4n0;6>4=i:::1=6*=3e81<`=ik90;7dm9:188m7502900e?=7:188mf1=831b>>750;9jg<<722ch97>5;n`e>5<<a;9i6=44i31b>5<<ajn1<75f22494?=nk10;66gl4;29?lde2900eno50;9jg6<722eii7>5;h001?6=3`88o7>5;h70>5<#:;l19?5a23g94>=n=80;6)<=f;71?k45m3;07d;?:18'67`==;1e>?k52:9j0c<72-89j7;=;o01a?5<3`>n6=4+23d917=i:;o1865f4e83>!45n3?97c<=e;78?l2d290/>?h5539m67c=>21b8o4?:%01b?353g89i794;h43>5<#:;l19?5a23g9<>=n=o0;6)<=f;71?k45m3307d;j:18'67`==;1e>?k5a:9j1a<72-89j7;=;o01a?d<3`?h6=4+23d917=i:;o1o65f5c83>!45n3?97c<=e;f8?l3f290/>?h5539m67c=m21b944?:%01b?353g89i7h4;h75>5<#:;l19?5a23g955=<a=k1<7*=2g866>h5:l0:=65`7e83>!45n3=h7c<=e;28?j1e290/>?h57b9m67c=921d;l4?:%01b?1d3g89i7<4;n5:>5<#:;l1;n5a23g97>=h?10;6)<=f;5`?k45m3>07b98:18'67`=?j1e>?k55:9l33<72-89j79l;o01a?0<3f=>6=4+23d93f=i:;o1;65`8783>!45n3=h7c<=e;:8?j>2290/>?h57b9m67c=121d494?:%01b?1d3g89i7o4;n:0>5<#:;l1;n5a23g9f>=h0;0;6)<=f;5`?k45m3i07b6>:18'67`=?j1e>?k5d:9l<5<72-89j79l;o01a?c<3f=m6=4+23d93f=i:;o1j65`7d83>!45n3=h7c<=e;33?>i0<3:1(?<i:6a8j74b28;07d?i6;29 74a28l>7c<=e;28?l7a<3:1(?<i:0d6?k45m3;07d?i3;29 74a28l>7c<=e;08?l7a:3:1(?<i:0d6?k45m3907d?i1;29 74a28l>7c<=e;68?l7a83:1(?<i:0d6?k45m3?07d?jf;29 74a28l>7c<=e;48?l7bm3:1(?<i:0d6?k45m3=07d?jc;29 74a28l>7c<=e;:8?l7bj3:1(?<i:0d6?k45m3307d?ja;29 74a28l>7c<=e;c8?l7b13:1(?<i:0d6?k45m3h07d?j8;29 74a28l>7c<=e;a8?l7b?3:1(?<i:0d6?k45m3n07d?j6;29 74a28l>7c<=e;g8?l7b=3:1(?<i:0d6?k45m3l07d?j4;29 74a28l>7c<=e;33?>o6m:0;6)<=f;3e1>h5:l0:=65f1d394?"5:o0:j85a23g957=<a8o;6=4+23d95c3<f;8n6<=4;h3gb?6=,;8m6<h:;o01a?7332c:hh4?:%01b?7a=2d9>h4>5:9j5ab=83.9>k4>f49m67c=9?10e<jl:18'67`=9o?0b?<j:058?l7cj3:1(?<i:0d6?k45m3;376g>d`83>!45n3;m96`=2d82=>=n9m31<7*=2g82b0=i:;o1=l54i0f;>5<#:;l1=k;4n30f>4d<3`;o:7>5$30e>4`23g89i7?l;:k2`0<72-89j7?i5:l16`<6l21b=i:50;&16c<6n<1e>?k51d98m4b4290/>?h51g78j74b28l07d?k2;29 74a28l>7c<=e;03?>o6l80;6)<=f;3e1>h5:l09=65f1e294?"5:o0:j85a23g967=<a8im6=4+23d95c3<f;8n6?=4;h3`a?6=,;8m6<h:;o01a?4332c:oi4?:%01b?7a=2d9>h4=5:9j5ce=83.9>k4>f49m67c=:?10e<hm:18'67`=9o?0b?<j:358?l7ai3:1(?<i:0d6?k45m38376g>f883>!45n3;m96`=2d81=>=n9o21<7*=2g82b0=i:;o1>l54i0d4>5<#:;l1=k;4n30f>7d<3`;nh7>5$30e>4`23g89i7<l;:k2a7<72-89j7?i5:l16`<5l21b=i950;&16c<6n<1e>?k52d98m4ed290/>?h51g78j74b2;l07dl;:18'67`=j:1e>?k50:9jf7<72-89j7l<;o01a?7<3`h:6=4+23d9f6=i:;o1>65fb183>!45n3h87c<=e;18?lga290/>?h5b29m67c=<21bmh4?:%01b?d43g89i7;4;hcg>5<#:;l1n>5a23g92>=nij0;6)<=f;`0?k45m3=07don:18'67`=j:1e>?k58:9je<<72-89j7l<;o01a??<3`k36=4+23d9f6=i:;o1m65fa683>!45n3h87c<=e;`8?lg1290/>?h5b29m67c=k21bm84?:%01b?d43g89i7j4;hc7>5<#:;l1n>5a23g9a>=ni:0;6)<=f;`0?k45m3l07do=:18'67`=j:1e>?k51198md7=83.9>k4m3:l16`<6921b5k4?:%01b?d43g89i7?=;:k:a?6=,;8m6o=4n30f>45<3`3o6=4+23d9f6=i:;o1=954i8a94?"5:o0i?6`=2d821>=n1k0;6)<=f;`0?k45m3;=76g6a;29 74a2k90b?<j:058?l?>290/>?h5b29m67c=9110e4650;&16c<e;2d9>h4>9:9j=2<72-89j7l<;o01a?7f32c2:7>5$30e>g5<f;8n6<l4;h;7>5<#:;l1n>5a23g95f=<a091<7*=2g8a7>h5:l0:h65f9383>!45n3h87c<=e;3f?>o>93:1(?<i:c18j74b28l07d7?:18'67`=j:1e>?k52198m=`=83.9>k4m3:l16`<5921b4h4?:%01b?d43g89i7<=;:k;`?6=,;8m6o=4n30f>75<3`2h6=4+23d9f6=i:;o1>954i9`94?"5:o0i?6`=2d811>=njh0;6)<=f;`0?k45m38=76gm9;29 74a2k90b?<j:358?ld?290/>?h5b29m67c=:110eo950;&16c<e;2d9>h4=9:9jf3<72-89j7l<;o01a?4f32ci97>5$30e>g5<f;8n6?l4;hca>5<#:;l1n>5a23g96f=<ah:1<7*=2g8a7>h5:l09h65f9483>!45n3h87c<=e;0f?>o?i3:1(?<i:c18j74b2;l07b?l4;29 74a28i87c<=e;28?j7d:3:1(?<i:0a0?k45m3;07b?l1;29 74a28i87c<=e;08?j7d83:1(?<i:0a0?k45m3907b?mf;29 74a28i87c<=e;68?j7em3:1(?<i:0a0?k45m3?07b?md;29 74a28i87c<=e;48?j7ek3:1(?<i:0a0?k45m3=07b?ma;29 74a28i87c<=e;:8?j7e13:1(?<i:0a0?k45m3307b?m8;29 74a28i87c<=e;c8?j7e?3:1(?<i:0a0?k45m3h07b?m6;29 74a28i87c<=e;a8?j7e=3:1(?<i:0a0?k45m3n07b?m4;29 74a28i87c<=e;g8?j7e;3:1(?<i:0a0?k45m3l07b?m2;29 74a28i87c<=e;33?>i6j80;6)<=f;3`7>h5:l0:=65`1`d94?"5:o0:o>5a23g957=<g8kn6=4+23d95f5<f;8n6<=4;n3b`?6=,;8m6<m<;o01a?7332e:mn4?:%01b?7d;2d9>h4>5:9l5dd=83.9>k4>c29m67c=9?10c<on:18'67`=9j90b?<j:058?j7f13:1(?<i:0a0?k45m3;376a>a983>!45n3;h?6`=2d82=>=h9h=1<7*=2g82g6=i:;o1=l54o0c5>5<#:;l1=n=4n30f>4d<3f;j87>5$30e>4e43g89i7?l;:m2e6<72-89j7?l3:l16`<6l21d=l<50;&16c<6k:1e>?k51d98k4g6290/>?h51b18j74b28l07b?n0;29 74a28i87c<=e;03?>i61o0;6)<=f;3`7>h5:l09=65`18g94?"5:o0:o>5a23g967=<g83o6=4+23d95f5<f;8n6?=4;n3:g?6=,;8m6<m<;o01a?4332e:5o4?:%01b?7d;2d9>h4=5:9l5fg=83.9>k4>c29m67c=:?10c<m6:18'67`=9j90b?<j:358?j7d03:1(?<i:0a0?k45m38376a>c683>!45n3;h?6`=2d81=>=h9j<1<7*=2g82g6=i:;o1>l54o0a6>5<#:;l1=n=4n30f>7d<3f;in7>5$30e>4e43g89i7<l;:m2f5<72-89j7?l3:l16`<5l21d=l;50;&16c<6k:1e>?k52d98k4?f290/>?h51b18j74b2;l07d?64;29 74a28387c<=e;28?l7>:3:1(?<i:0;0?k45m3;07d?61;29 74a28387c<=e;08?l7>83:1(?<i:0;0?k45m3907d?7f;29 74a28387c<=e;68?l7?m3:1(?<i:0;0?k45m3?07d?7d;29 74a28387c<=e;48?l7?k3:1(?<i:0;0?k45m3=07dkk:18'67`=mj1e>?k50:9jag<72-89j7kl;o01a?7<3`oj6=4+23d9af=i:;o1>65fe883>!45n3oh7c<=e;18?lc?290/>?h5eb9m67c=<21bi:4?:%01b?cd3g89i7;4;hg5>5<#:;l1in5a23g92>=nm<0;6)<=f;g`?k45m3=07dh9:18'67`=mj1e>?k58:9jb0<72-89j7kl;o01a??<3`l?6=4+23d9af=i:;o1m65ff283>!45n3oh7c<=e;`8?l`5290/>?h5eb9m67c=k21bj<4?:%01b?cd3g89i7j4;hd3>5<#:;l1in5a23g9a>=nmo0;6)<=f;g`?k45m3l07dkj:18'67`=mj1e>?k51198m`2=83.9>k4jc:l16`<6921d>?950;&16c<5:?1e>?k50:9l673=83.9>k4=279m67c=921d>?:50;&16c<5:?1e>?k52:9l675=83.9>k4=279m67c=;21d>?<50;&16c<5:?1e>?k54:9l677=83.9>k4=279m67c==21d>?>50;&16c<5:?1e>?k56:9l64`=83.9>k4=279m67c=?21d><j50;&16c<5:?1e>?k58:9l64e=83.9>k4=279m67c=121d><l50;&16c<5:?1e>?k5a:9l64g=83.9>k4=279m67c=j21d><750;&16c<5:?1e>?k5c:9l64>=83.9>k4=279m67c=l21d><950;&16c<5:?1e>?k5e:9l640=83.9>k4=279m67c=n21d><;50;&16c<5:?1e>?k51198k773290/>?h52348j74b28;07b<>2;29 74a2;8=7c<=e;31?>i5980;6)<=f;012>h5:l0:?65`20294?"5:o09>;5a23g951=<g;:m6=4+23d9670<f;8n6<;4;n03a?6=,;8m6?<9;o01a?7132e9<i4?:%01b?45>2d9>h4>7:9l65e=83.9>k4=279m67c=9110c?>m:18'67`=:;<0b?<j:0;8?j47i3:1(?<i:305?k45m3;j76a=0883>!45n389:6`=2d82f>=h:9=1<7*=2g8163=i:;o1=n54o325>5<#:;l1>?84n30f>4b<3f8;97>5$30e>7413g89i7?j;:m141<72-89j7<=6:l16`<6n21d>==50;&16c<5:?1e>?k52198k765290/>?h52348j74b2;;07b<?1;29 74a2;8=7c<=e;01?>i5890;6)<=f;012>h5:l09?65`1gd94?"5:o09>;5a23g961=<g8ln6=4+23d9670<f;8n6?;4;n01`?6=,;8m6?<9;o01a?4132e9>n4?:%01b?45>2d9>h4=7:9l67d=83.9>k4=279m67c=:110c?<n:18'67`=:;<0b?<j:3;8?j4513:1(?<i:305?k45m38j76a=2983>!45n389:6`=2d81f>=h:8o1<7*=2g8163=i:;o1>n54o330>5<#:;l1>?84n30f>7b<3f8;47>5$30e>7413g89i7<j;:m2ba<72-89j7<=6:l16`<5n21b?54?:%01b?503g89i7>4;h15>5<#:;l1?:5a23g95>=n;<0;6)<=f;14?k45m3807d=;:18'67`=;>1e>?k53:9j76<72-89j7=8;o01a?2<3`996=4+23d972=i:;o1965f3083>!45n39<7c<=e;48?l57290/>?h5369m67c=?21b884?:%01b?503g89i764;h67>5<#:;l1?:5a23g9=>=n<:0;6)<=f;14?k45m3k07d:=:18'67`=;>1e>?k5b:9j04<72-89j7=8;o01a?e<3`>;6=4+23d972=i:;o1h65f3g83>!45n39<7c<=e;g8?l5b290/>?h5369m67c=n21b?o4?:%01b?503g89i7??;:k1b?6=,;8m6>94n30f>47<3f;<o7>5$30e>41e3g89i7>4;n34e?6=,;8m6<9m;o01a?7<3f;<57>5$30e>41e3g89i7<4;n34<?6=,;8m6<9m;o01a?5<3f;<;7>5$30e>41e3g89i7:4;n342?6=,;8m6<9m;o01a?3<3f;<87>5$30e>41e3g89i784;n347?6=,;8m6<9m;o01a?1<3f;<>7>5$30e>41e3g89i764;n345?6=,;8m6<9m;o01a??<3f;<<7>5$30e>41e3g89i7o4;n35b?6=,;8m6<9m;o01a?d<3f;=i7>5$30e>41e3g89i7m4;n35`?6=,;8m6<9m;o01a?b<3f;=o7>5$30e>41e3g89i7k4;n35f?6=,;8m6<9m;o01a?`<3f;=57>5$30e>41e3g89i7??;:m22=<72-89j7?8b:l16`<6921d=;950;&16c<6?k1e>?k51398k401290/>?h516`8j74b28907b?95;29 74a28=i7c<=e;37?>i6>=0;6)<=f;34f>h5:l0:965`17194?"5:o0:;o5a23g953=<g8<96=4+23d952d<f;8n6<94;n355?6=,;8m6<9m;o01a?7?32e::=4?:%01b?70j2d9>h4>9:9l5=5=83.9>k4>7c9m67c=9h10c<6=:18'67`=9>h0b?<j:0`8?j7?93:1(?<i:05a?k45m3;h76a>8183>!45n3;<n6`=2d82`>=h9>l1<7*=2g823g=i:;o1=h54o05f>5<#:;l1=:l4n30f>4`<3f;<h7>5$30e>41e3g89i7<?;:m230<72-89j7?8b:l16`<5921d=;o50;&16c<6?k1e>?k52398k43a290/>?h516`8j74b2;907d8n:18'67`=>01e>?k50:J1<<=<a?21<7*=2g85=>h5:l0:7E<79:9j22<72-89j786;o01a?4<@;2276g96;29 74a2?30b?<j:29K6=?<3`<>6=4+23d92<=i:;o186F=8898m32=83.9>k499:l16`<23A83565f6283>!45n3<27c<=e;48L7>>32c=>7>5$30e>3?<f;8n6:5G29;8?l14290/>?h5689m67c=02B94454i6094?"5:o0=56`=2d8:?M4?121b;<4?:%01b?0>3g89i7o4H3::?>o083:1(?<i:7;8j74b2k1C>574;h4e>5<#:;l1:45a23g9g>N50010e;k50;&16c<112d9>h4k;I0;=>=n>m0;6)<=f;4:?k45m3o0D?66;:k5g?6=,;8m6;74n30f>c=O:1307d8m:18'67`=>01e>?k5119K6=?<3`<:6=4+23d92<=i:;o1=<5G29;8?xd5l90;6?950;31M4>82.94k4:639Y<2<5sk0o6pg>0283>!45n3;;>6`=2d83?>o6880;6)<=f;336>h5:l0:76gif;29 74a28:97c<=e;08?l`b290/>?h51108j74b2:10ekj50;&16c<68;1e>?k54:9jbf<72-89j7??2:l16`<232cmn7>5$30e>4653g89i784;hdb>5<#:;l1==<4n30f>2=<a88;6=4+23d9554<f;8n6554i03e>5<#:;l1==<4n30f><=<a8;n6=4+23d9554<f;8n6l54i03g>5<#:;l1==<4n30f>g=<a8;h6=4+23d9554<f;8n6n54i03a>5<#:;l1==<4n30f>a=<a8;26=4+23d9554<f;8n6h54i032>5<#:;l1==<4n30f>c=<a8:=6=4+23d9554<f;8n6<>4;hd:>5<#:;l1==<4n30f>47<3`io6=44ib094?=n:1<1<75`13a94?"5:o0:>o5a23g94>=h9;k1<7*=2g826g=i:;o1=65`13:94?"5:o0:>o5a23g96>=h9;=1<7*=2g826g=i:;o1?65`13494?"5:o0:>o5a23g90>=h9;?1<7*=2g826g=i:;o1965`13694?"5:o0:>o5a23g92>=h9;91<7*=2g826g=i:;o1;65`15;94?"5:o0:>o5a23g9<>=h9=21<7*=2g826g=i:;o1565`15594?"5:o0:>o5a23g9e>=h9=<1<7*=2g826g=i:;o1n65`15794?"5:o0:>o5a23g9g>=h9=>1<7*=2g826g=i:;o1h65`15094?"5:o0:>o5a23g9a>=h9:k1<7*=2g826g=i:;o1j65`13d94?"5:o0:>o5a23g955=<g8896=4+23d957d<f;8n6<?4;r;6<?6=:rT:<>5Q13a8yv?213:1>vP>009]57g<uz3>m7>52z\eb>X6:11v4;m:181[`b3W;9;6s|94a94?4|Von0R<<9;|q:1a<72;qUjn5Q1378yv?2m3:1>vPib:\261=z{0?m6=4={_db?[75;2wx5;>50;0xZ4473W;?56s|97394?4|V8;m7S?;8:p=34=838pR<?j;_373>{t1?91<7<t^03g?[73>2wx5;:50;0xZ47d3W;?96s|97794?4|V8;i7S?;4:p=30=838pR<?6;_376>{t1?=1<7<t^032?[74i2wx5;650;0xZ4613W;9j6s|97;94?4|Vo30R<<=;|a6a7=838<6=4>2zJ1=5=#:1l19;<4Z9596~d=l3wb===50;&16c<68;1e>?k50:9j557=83.9>k4>039m67c=921bjk4?:%01b?77:2d9>h4=;:kea?6=,;8m6<>=;o01a?5<3`lo6=4+23d9554<f;8n6954iga94?"5:o0:<?5a23g91>=nnk0;6)<=f;336>h5:l0=76gia;29 74a28:97c<=e;58?l7583:1(?<i:021?k45m3207d?>f;29 74a28:97c<=e;;8?l76m3:1(?<i:021?k45m3k07d?>d;29 74a28:97c<=e;`8?l76k3:1(?<i:021?k45m3i07d?>b;29 74a28:97c<=e;f8?l7613:1(?<i:021?k45m3o07d?>1;29 74a28:97c<=e;d8?l77>3:1(?<i:021?k45m3;;76gi9;29 74a28:97c<=e;32?>odl3:17dm=:188m7>12900c<<l:18'67`=9;h0b?<j:198k44f290/>?h513`8j74b2810c<<7:18'67`=9;h0b?<j:398k440290/>?h513`8j74b2:10c<<9:18'67`=9;h0b?<j:598k442290/>?h513`8j74b2<10c<<;:18'67`=9;h0b?<j:798k444290/>?h513`8j74b2>10c<:6:18'67`=9;h0b?<j:998k42?290/>?h513`8j74b2010c<:8:18'67`=9;h0b?<j:`98k421290/>?h513`8j74b2k10c<:::18'67`=9;h0b?<j:b98k423290/>?h513`8j74b2m10c<:=:18'67`=9;h0b?<j:d98k45f290/>?h513`8j74b2o10c<<i:18'67`=9;h0b?<j:028?j75:3:1(?<i:00a?k45m3;:76}66`83>7}Y9990R<<l;|q:2g<72;qU==?4^00b?xu>>j0;6?uQfg9]57><uz3=h7>52z\ea>X6:>1v48j:181[`c3W;9:6s|97d94?4|Voi0R<<:;|q:35<72;qUjo5Q1368yv?093:1>vPia:\266=z{0=96=4={_314>X6<01v49<:181[76n2T:855rs857>5<5sW;:i6P>469~w<122909wS?>d:\203=z{0==6=4={_32g>X6<<1v498:181[76j2T:895rs85;>5<5sW;:56P>439~w<1>2909wS?>1:\27d=z{0=j6=4={_332>X6:o1v49m:181[`>3W;9>6srb3f1>5<5?38?6>7tH3;3?!4?n3?=?6T77;0xf?b=u`;;?7>5$30e>4653g89i7>4;h335?6=,;8m6<>=;o01a?7<3`lm6=4+23d9554<f;8n6?54igg94?"5:o0:<?5a23g97>=nnm0;6)<=f;336>h5:l0?76gic;29 74a28:97c<=e;78?l`e290/>?h51108j74b2?10eko50;&16c<68;1e>?k57:9j576=83.9>k4>039m67c=021b=<h50;&16c<68;1e>?k59:9j54c=83.9>k4>039m67c=i21b=<j50;&16c<68;1e>?k5b:9j54e=83.9>k4>039m67c=k21b=<l50;&16c<68;1e>?k5d:9j54?=83.9>k4>039m67c=m21b=<?50;&16c<68;1e>?k5f:9j550=83.9>k4>039m67c=9910ek750;&16c<68;1e>?k51098mfb=831bo?4?::k1<3<722e:>n4?:%01b?75j2d9>h4?;:m26d<72-89j7?=b:l16`<632e:>54?:%01b?75j2d9>h4=;:m262<72-89j7?=b:l16`<432e:>;4?:%01b?75j2d9>h4;;:m260<72-89j7?=b:l16`<232e:>94?:%01b?75j2d9>h49;:m266<72-89j7?=b:l16`<032e:844?:%01b?75j2d9>h47;:m20=<72-89j7?=b:l16`<>32e:8:4?:%01b?75j2d9>h4n;:m203<72-89j7?=b:l16`<e32e:884?:%01b?75j2d9>h4l;:m201<72-89j7?=b:l16`<c32e:8?4?:%01b?75j2d9>h4j;:m27d<72-89j7?=b:l16`<a32e:>k4?:%01b?75j2d9>h4>0:9l574=83.9>k4>2c9m67c=9810n?j<:186>5<7s-83j7?;d:J1=0=O:0:0(<;9:39j<<<722ch>7>5;hg0>5<<a;9?6=44o311>5<<uk8o87>55;294~"50o0:8i5G2878L7?73-;>:7<4i9;94?=nk;0;66gj3;29?l44<3:17b<<2;29?xd5l<0;684?:1y'6=`=9=n0D?7:;I0:4>"6=?097d66:188mf4=831bi>4?::k171<722e9??4?::a6a0=83?1<7>t$3:e>42c3A8296F=919'500=:2c357>5;ha1>5<<al91<75f22694?=h::81<75rb3f4>5<2290;w)<7f;37`>N51<1C>4>4$075>7=n000;66gl2;29?lc42900e?=;:188k7552900qo<k8;291?6=8r.94k4>4e9K6<3<@;3;7)?:6;08m=?=831bo?4?::kf7?6=3`8887>5;n006?6=3th9h44?:483>5}#:1l1=9j4H3;6?M4>82.:9;4=;h::>5<<aj81<75fe283>>o5;=0;66a=3383>>{e:mk1<7;50;2x 7>a28>o7E<65:J1=5=#9<<1>6g79;29?le52900eh=50;9j662=831d>><50;9~f7be290>6=4?{%0;b?73l2B9585G2828 4312;1b444?::k`6?6=3`o86=44i317>5<<g;996=44}c0gg?6==3:1<v*=8g820a=O:0?0D?7?;%362?4<a131<75fc383>>ob;3:17d<<4;29?j44:3:17pl=de83>0<729q/>5h515f8L7?23A82<6*>5781?l>>2900en<50;9ja6<722c9?94?::m177<722wi>ik50;794?6|,;2m6<:k;I0:1>N5191/=8852:k;=?6=3`i96=44id194?=n::>1<75`22094?=zj;nm6=4::183!4?n3;?h6F=949K6<6<,8?=6?5f8883>>od:3:17dk<:188m7532900c?==:188yg4b83:197>50z&1<c<6<m1C>4;4H3;3?!72>380e5750;9jg7<722cn?7>5;h000?6=3f88>7>5;|`1a4<72<0;6=u+29d951b<@;3>7E<60:&213<53`226=44ib094?=nm:0;66g=3583>>i5;;0;66sm2d094?3=83:p(?6i:06g?M4>=2B95=5+14496>o?13:17dm=:188m`5=831b>>:50;9l664=831vn?k<:186>5<7s-83j7?;d:J1=0=O:0:0(<;9:39j<<<722ch>7>5;hg0>5<<a;9?6=44o311>5<<uk8n87>55;294~"50o0:8i5G2878L7?73-;>:7<4i9;94?=nk;0;66gj3;29?l44<3:17b<<2;29?xd5m<0;684?:1y'6=`=9=n0D?7:;I0:4>"6=?097d66:188mf4=831bi>4?::k171<722e9??4?::a6`0=83?1<7>t$3:e>42c3A8296F=919'500=:2c357>5;ha1>5<<al91<75f22694?=h::81<75rb3g4>5<2290;w)<7f;37`>N51<1C>4>4$075>7=n000;66gl2;29?lc42900e?=;:188k7552900qo<j8;291?6=8r.94k4>4e9K6<3<@;3;7)?:6;08m=?=831bo?4?::kf7?6=3`8887>5;n006?6=3th9i44?:483>5}#:1l1=9j4H3;6?M4>82.:9;4=;h::>5<<aj81<75fe283>>o5;=0;66a=3383>>{e:lk1<7;50;2x 7>a28>o7E<65:J1=5=#9<<1>6g79;29?le52900eh=50;9j662=831d>><50;9~f7ce290>6=4?{%0;b?73l2B9585G2828 4312;1b444?::k`6?6=3`o86=44i317>5<<g;996=44}c0fg?6==3:1<v*=8g820a=O:0?0D?7?;%362?4<a131<75fc383>>ob;3:17d<<4;29?j44:3:17pl=ee83>0<729q/>5h515f8L7?23A82<6*>5781?l>>2900en<50;9ja6<722c9?94?::m177<722wi>hk50;794?6|,;2m6<:k;I0:1>N5191/=8852:k;=?6=3`i96=44id194?=n::>1<75`22094?=zj;om6=4::183!4?n3;?h6F=949K6<6<,8?=6?5f8883>>od:3:17dk<:188m7532900c?==:188yg4a83:197>50z&1<c<6<m1C>4;4H3;3?!72>380e5750;9jg7<722cn?7>5;h000?6=3f88>7>5;|`1b4<72<0;6=u+29d951b<@;3>7E<60:&213<53`226=44ib094?=nm:0;66g=3583>>i5;;0;66sm2g094?3=83:p(?6i:06g?M4>=2B95=5+14496>o?13:17dm=:188m`5=831b>>:50;9l664=831vn?h<:186>5<7s-83j7?;d:J1=0=O:0:0(<;9:39j<<<722ch>7>5;hg0>5<<a;9?6=44o311>5<<uk8m87>55;294~"50o0:8i5G2878L7?73-;>:7<4i9;94?=nk;0;66gj3;29?l44<3:17b<<2;29?xd5n<0;684?:1y'6=`=9=n0D?7:;I0:4>"6=?097d66:188mf4=831bi>4?::k171<722e9??4?::a6c0=83?1<7>t$3:e>42c3A8296F=919'500=:2c357>5;ha1>5<<al91<75f22694?=h::81<75rs85`>5<5sW;;?63=e58f7>{t1>n1<7<t^022?84b;3o87p}67d83>7}Yno16>h<5e29~w<1a2909wShj;<0f5?c43ty24=4?:3y]ba=::l:1i>5rs8:2>5<5sWlh70<kf;g0?xu>0;0;6?uQfc9>6ac=m:1v46<:181[`f348oh7k<;|q:<1<72;qU=?>4=3f`>`5<uz3397>52z\25c=::mh1i>5rs8:5>5<5sW;:i63=d`8f7>{t11=1<7<t^03g?84c13o87p}68983>7}Y98i01?j7:d18yv??13:1>vP>1c9>6a1=m:1v46n:181[761279h;4j3:p==d=838pR<?>;<0g1?c43ty24n4?:3y]550<5;n?6h=4}r;;`?6=:rTm563=d28f7>{t11o1<7<:{_ag?84c;32270<k4;::?84c=32270<k6;::?84c?32270<k8;::?84c132270<ka;::?84cj32270<kc;::?84cl32270<ke;::?84cn32270<j0;::?84b932270<j2;::?84b;32270<j4;::?84b=32270<j6;::?84b?32270<j8;::?84b132270<ja;::?84bj32270<jc;::?84bl32270<je;::?84bn32270<i0;::?84a932270<i2;::?84a;32270<i4;::?84a=32270<i6;::?xu>0o0;6?;t^b0897b42j801?j;:b0897b22j801?j9:b0897b02j801?j7:b0897b>2j801?jn:b0897be2j801?jl:b0897bc2j801?jj:b0897ba2j801?k?:b0897c62j801?k=:b0897c42j801?k;:b0897c22j801?k9:b0897c02j801?k7:b0897c>2j801?kn:b0897ce2j801?kl:b0897cc2j801?kj:b0897ca2j801?h?:b0897`62j801?h=:b0897`42j801?h;:b0897`22j801?h9:b08yv?>83:1>8uQ294897b42;9?70<k4;000>;5l<09?9522e49662<5;n<6?=;;<0g<?44<279h44=359>6ag=::>01?jm:317?84ck388863=de8171=::mo1>>:4=3fe>753348n<7<<4:?1a4<5;=16>h<5226897c42;9?70<j4;000>;5m<09?9522d49662<5;o<6?=;;<0f<?44<279i44=359>6`g=::>01?km:317?84bk388863=ee8171=::lo1>>:4=3ge>753348m<7<<4:?1b4<5;=16>k<5226897`42;9?70<i4;000>;5n<09?9522g49662<uz32=7>52z?1a1<5;;16>k85e29~w<?52909w0<j3;006>;5n<0n?6s|98194?4|5;o96?==;<0e0?c43ty2594?:3y>6`7=::801?h<:d18yv?>=3:1>v3=e18177=::o81i>5rs8;5>5<5s48oj7<<2:?1b4<b;2wx54950;0x97bb2;9970<i0;g0?xu>110;6?u22ef9664<5;om6h=4}r;:=?6=:r79hn4=339>6`c=m:1v47n:18184cj388>63=ee8f7>{t10h1<7<t=3fb>755348no7k<;|q:=f<72;q6>i75220897ce2l90q~76d;296~;5l109??522dc9a6=z{03n6=4={<0g3?44:279i44j3:p=<`=838p1?j9:311?84b03o87p}6a183>7}::m?1>><4=3g4>`5<uz3j=7>52z?1`1<5;;16>h85e29~w<g52909w0<k3;006>;5m<0n?6s|9`194?4|V88h70<i6;006>{t1h>1<7<t^00b?84a=388>6s|9`794?4|V88370<i4;006>{t1h<1<7<t^004?84a;388>6s|9`594?4|V88=70<i2;006>{t1h21<7<t^006?84a9388>6s|9`;94?4|V88?70<i0;006>{t1hk1<7<t^000?84bn388>6s|9``94?4|V8>270<je;006>{t1hi1<7<t^06;?84bl388>6s|9`f94?4|V8><70<jc;006>{t1ho1<7<t^065?84bj388>6s|9`d94?4|V8>>70<ja;006>{t1k:1<7<t^067?84b1388>6s|9c394?4|V8>970<j8;006>{t1k81<7<t^01b?84b?388>6s|9c194?4|V88m70<j6;006>{t1k>1<7<t^001?84b=388>6srb3d4>5<5?3886>9tH3;3?!4?n3?=?6T77;0xf?b=u`;;?7>5$30e>4653g89i7>4;h335?6=,;8m6<>=;o01a?7<3`lm6=4+23d9554<f;8n6?54igg94?"5:o0:<?5a23g97>=nnm0;6)<=f;336>h5:l0?76gic;29 74a28:97c<=e;78?l`e290/>?h51108j74b2?10eko50;&16c<68;1e>?k57:9j576=83.9>k4>039m67c=021b=<h50;&16c<68;1e>?k59:9j54c=83.9>k4>039m67c=i21b=<j50;&16c<68;1e>?k5b:9j54e=83.9>k4>039m67c=k21b=<l50;&16c<68;1e>?k5d:9j54?=83.9>k4>039m67c=m21b=<?50;&16c<68;1e>?k5f:9j550=83.9>k4>039m67c=9910ek750;&16c<68;1e>?k51098mfb=831bo?4?::k1<3<722e:>n4?:%01b?75j2d9>h4?;:m26d<72-89j7?=b:l16`<632e:>54?:%01b?75j2d9>h4=;:m262<72-89j7?=b:l16`<432e:>;4?:%01b?75j2d9>h4;;:m260<72-89j7?=b:l16`<232e:>94?:%01b?75j2d9>h49;:m266<72-89j7?=b:l16`<032e:844?:%01b?75j2d9>h47;:m20=<72-89j7?=b:l16`<>32e:8:4?:%01b?75j2d9>h4n;:m203<72-89j7?=b:l16`<e32e:884?:%01b?75j2d9>h4l;:m201<72-89j7?=b:l16`<c32e:8?4?:%01b?75j2d9>h4j;:m27d<72-89j7?=b:l16`<a32e:>k4?:%01b?75j2d9>h4>0:9l574=83.9>k4>2c9m67c=9810n?h7:182>5<7s-83j7?:0:J1=0=O:0:0c<:i:188yg4a13:197>50z&1<c<6<m1C>4;4H3;3?!72>380e5750;9jg7<722cn?7>5;h000?6=3f88>7>5;|`1bd<72<0;6=u+29d951b<@;3>7E<60:&213<53`226=44ib094?=nm:0;66g=3583>>i5;;0;66sm2g`94?3=83:p(?6i:06g?M4>=2B95=5+14496>o?13:17dm=:188m`5=831b>>:50;9l664=831vn?hl:186>5<7s-83j7?;d:J1=0=O:0:0(<;9:39j<<<722ch>7>5;hg0>5<<a;9?6=44o311>5<<uk8mh7>55;294~"50o0:8i5G2878L7?73-;>:7<4i9;94?=nk;0;66gj3;29?l44<3:17b<<2;29?xd5nl0;684?:1y'6=`=9=n0D?7:;I0:4>"6=?097d66:188mf4=831bi>4?::k171<722e9??4?::a6c`=83?1<7>t$3:e>42c3A8296F=919'500=:2c357>5;ha1>5<<al91<75f22694?=h::81<75rb223>5<2290;w)<7f;37`>N51<1C>4>4$075>7=n000;66gl2;29?lc42900e?=;:188k7552900qo=?1;291?6=8r.94k4>4e9K6<3<@;3;7)?:6;08m=?=831bo?4?::kf7?6=3`8887>5;n006?6=3th8<?4?:483>5}#:1l1=9j4H3;6?M4>82.:9;4=;h::>5<<aj81<75fe283>>o5;=0;66a=3383>>{e;991<7;50;2x 7>a28>o7E<65:J1=5=#9<<1>6g79;29?le52900eh=50;9j662=831d>><50;9~f663290>6=4?{%0;b?73l2B9585G2828 4312;1b444?::k`6?6=3`o86=44i317>5<<g;996=44}c131?6==3:1<v*=8g820a=O:0?0D?7?;%362?4<a131<75fc383>>ob;3:17d<<4;29?j44:3:17pl<0783>0<729q/>5h515f8L7?23A82<6*>5781?l>>2900en<50;9ja6<722c9?94?::m177<722wi?=950;794?6|,;2m6<:k;I0:1>N5191/=8852:k;=?6=3`i96=44id194?=n::>1<75`22094?=zj::36=4::183!4?n3;?h6F=949K6<6<,8?=6?5f8883>>od:3:17dk<:188m7532900c?==:188yg5713:197>50z&1<c<6<m1C>4;4H3;3?!72>380e5750;9jg7<722cn?7>5;h000?6=3f88>7>5;|`04d<72<0;6=u+29d951b<@;3>7E<60:&213<53`226=44ib094?=nm:0;66g=3583>>i5;;0;66sm31`94?3=83:p(?6i:06g?M4>=2B95=5+14496>o?13:17dm=:188m`5=831b>>:50;9l664=831vn>>l:186>5<7s-83j7?;d:J1=0=O:0:0(<;9:39j<<<722ch>7>5;hg0>5<<a;9?6=44o311>5<<uk9;h7>55;294~"50o0:8i5G2878L7?73-;>:7<4i9;94?=nk;0;66gj3;29?l44<3:17b<<2;29?xd48l0;684?:1y'6=`=9=n0D?7:;I0:4>"6=?097d66:188mf4=831bi>4?::k171<722e9??4?::a75`=83?1<7>t$3:e>42c3A8296F=919'500=:2c357>5;ha1>5<<al91<75f22694?=h::81<75rb233>5<2290;w)<7f;37`>N51<1C>4>4$075>7=n000;66gl2;29?lc42900e?=;:188k7552900qo=>1;291?6=8r.94k4>4e9K6<3<@;3;7)?:6;08m=?=831bo?4?::kf7?6=3`8887>5;n006?6=3th8=?4?:483>5}#:1l1=9j4H3;6?M4>82.:9;4=;h::>5<<aj81<75fe283>>o5;=0;66a=3383>>{e;891<7;50;2x 7>a28>o7E<65:J1=5=#9<<1>6g79;29?le52900eh=50;9j662=831d>><50;9~f673290>6=4?{%0;b?73l2B9585G2828 4312;1b444?::k`6?6=3`o86=44i317>5<<g;996=44}c121?6==3:1<v*=8g820a=O:0?0D?7?;%362?4<a131<75fc383>>ob;3:17d<<4;29?j44:3:17pl<1783>0<729q/>5h515f8L7?23A82<6*>5781?l>>2900en<50;9ja6<722c9?94?::m177<722wi?<950;794?6|,;2m6<:k;I0:1>N5191/=8852:k;=?6=3`i96=44id194?=n::>1<75`22094?=zj:;36=4::183!4?n3;?h6F=949K6<6<,8?=6?5f8883>>od:3:17dk<:188m7532900c?==:188yg5613:197>50z&1<c<6<m1C>4;4H3;3?!72>380e5750;9jg7<722cn?7>5;h000?6=3f88>7>5;|`05d<72<0;6=u+29d951b<@;3>7E<60:&213<53`226=44ib094?=nm:0;66g=3583>>i5;;0;66s|9c794?4|V8::70=?9;g0?xu>j?0;6?uQfg9>75>=m:1v4l8:181[`b349;;7k<;|q:f=<72;qUji523149a6=z{0h26=4={_d`?857=3o87p}6b`83>7}Ynk16?=:5e29~w<de2909wShn;<137?c43ty2nn4?:3y]576<5::96h=4}r;a`?6=:rT:=k523139a6=z{0hn6=4={_32a>;4890n?6s|9cd94?4|V8;o70<if;g0?xu>k90;6?uQ10a897`b2l90q~7l1;296~X69k16>kj5e29~w<e52909wS?>9:?1bf<b;2wx5n=50;0xZ476348mn7k<;|q:g1<72;qU==84=3db>`5<uz3h97>52z\e=>;5n00n?6s|9b494?44sWio70<i9;::?84ai32270<ib;::?84ak32270<id;::?84am32270<if;::?857832270=?1;::?857:32270=?3;::?857<32270=?5;::?857>32270=?7;::?857032270=?9;::?857i32270=?b;::?857k32270=?d;::?857m32270=?f;::?856832270=>1;::?856:32270=>3;::?856<32270=>5;::?856>32270=>7;::?856032270=>9;::?856i3227p}6c683>75|Vj801?h6:b0897`f2j801?hm:b0897`d2j801?hk:b0897`b2j801?hi:b0896672j801>>>:b0896652j801>><:b0896632j801>>::b0896612j801>>8:b08966?2j801>>6:b08966f2j801>>m:b08966d2j801>>k:b08966b2j801>>i:b0896772j801>?>:b0896752j801>?<:b0896732j801>?::b0896712j801>?8:b08967?2j801>?6:b08967f2j80q~7l8;2966}Y:1<01?h6:317?84ai388863=fc8171=::oi1>>:4=3dg>753348mi7<<4:?1bc<5;=16?=>5226896662;9?70=?2;000>;48:09?9523169662<5::>6?=;;<132?44<278<:4=359>75>=::>01>>6:317?857i388863<0c8171=:;9i1>>:4=22g>753349;i7<<4:?04c<5;=16?<>5226896762;9?70=>2;000>;49:09?9523069662<5:;>6?=;;<122?44<278=:4=359>74>=::>01>?6:317?856i38886s|9b;94?4|5::26?==;<12e?c43ty2ol4?:3y>75>=::801>?6:d18yv?dj3:1>v3<068177=:;821i>5rs8a`>5<5s49;:7<<2:?052<b;2wx5nj50;0x96622;9970=>6;g0?xu>kl0;6?u23169664<5:;>6h=4}r;`b?6=:r78<>4=339>742=m:1v4j?:181857:388>63<128f7>{t1m;1<7<t=222>755349:>7k<;|q:`7<72;q6?=>5220896762l90q~7k3;296~;5no09??523029a6=z{0n?6=4={<0ea?44:278<k4j3:p=a3=838p1?hk:311?857m3o87p}6d783>7}::oi1>><4=22g>`5<uz3o;7>52z?1bg<5;;16?=m5e29~w<b?2909w0<ia;006>;48k0n?6s|9e;94?4|5;l26?==;<13e?c43ty2hl4?:3y]57e<5;l36<:i;|q:`g<72;qU=?o4=23b>7553ty2hn4?:3y]57><5:;26?==;|q:`a<72;qU=?94=23;>7553ty2hh4?:3y]570<5:;<6?==;|q:`c<72;qU=?;4=235>7553ty2i=4?:3y]572<5:;>6?==;|q:a4<72;qU=?=4=237>7553ty2i?4?:3y]51?<5:;86?==;|q:a6<72;qU=964=231>7553ty2i94?:3y]511<5:;:6?==;|q:a0<72;qU=984=233>7553ty2i;4?:3y]513<5::m6?==;|q:a2<72;qU=9:4=22f>7553ty2i54?:3y]514<5::o6?==;|q:a<<72;qU=>o4=22`>7553ty2il4?:3y]57`<5::i6?==;|q:ag<72;qU=?<4=22b>7553twi?<l50;f91?e|@;3;7)<7f;750>\??38p=i4>e;j54d=83.9>k4>1`9m67c=821b=<750;&16c<69h1e>?k51:9j547=83.9>k4>1`9m67c=:21b==850;&16c<69h1e>?k53:9jb<<72-89j7?>a:l16`<332chh7>5;ha1>5<<a;2=6=44o067>5<#:;l1=9=4n30f>5=<g8>96=4+23d9515<f;8n6<54o01b>5<#:;l1=9=4n30f>7=<g88m6=4+23d9515<f;8n6>54o001>5<#:;l1=9=4n30f>1=<j:;h6=4>:183!4?n3;><6F=949K6<6<g8>m6=44}c12`?6==3:1<v*=8g820a=O:0?0D?7?;%362?4<a131<75fc383>>ob;3:17d<<4;29?j44:3:17pl<1d83>0<729q/>5h515f8L7?23A82<6*>5781?l>>2900en<50;9ja6<722c9?94?::m177<722wi?<h50;794?6|,;2m6<:k;I0:1>N5191/=8852:k;=?6=3`i96=44id194?=n::>1<75`22094?=zj:8;6=4::183!4?n3;?h6F=949K6<6<,8?=6?5f8883>>od:3:17dk<:188m7532900c?==:188yv?bk3:1>vP>189>776=m:1v4kk:181[769278=k4j3:p=`c=838pR<>9;<12a?c43ty2ik4?:3y]b<=:;8n1i>5rs8d3>5<2sWio70=>d;::?856m32270=>f;::?85583227p}6f083>0}Yk;16?<j5c39>74c=k;16?<h5c39>776=k;1v4h=:186[4?>278=i4=359>74c=::>01>?i:317?855838886s|9g194?4|V8>?70=>c;37b>{t1o>1<7<t^061?8558388>6s|9g794?4|V89j70=>f;006>{t1o<1<7<t^00e?856m388>6s|9g594?4|V88970=>d;006>{zj:8:6=4k:0795f}O:0:0(?6i:446?_>02;q:h7?j:|k25g<72-89j7?>a:l16`<732c:=44?:%01b?76i2d9>h4>;:k254<72-89j7?>a:l16`<532c:<;4?:%01b?76i2d9>h4<;:ke=?6=,;8m6<?n;o01a?2<3`io6=44ib094?=n:1<1<75`15694?"5:o0:8>5a23g94>=h9=81<7*=2g8206=i:;o1=65`12c94?"5:o0:8>5a23g96>=h9;l1<7*=2g8206=i:;o1?65`13094?"5:o0:8>5a23g90>=e;;81<7?50;2x 7>a28?;7E<65:J1=5=h9=l1<75rb200>5<6290;w)<7f;0:5>N51<1C>4>4o0;;>5<<uk9987>59;294~"50o094o5G2878L7?73-;>:7=4i3:94?=n:h0;66g=c;29?l4c2900en<50;9jga<722cn?7>5;n006?6=3f88?7>5;|`060<72=0;6=u+29d951e<@;3>7E<60:&213<53`226=44ib094?=nm:0;66a=3383>>{e;;<1<7750;2x 7>a2;2i7E<65:J1=5=#9<<1?6g=8;29?l4f2900e?m50;9j6a<722ch>7>5;hag>5<<al91<75`22094?=h::91<75rb204>5<3290;w)<7f;37g>N51<1C>4>4$075>7=n000;66gl2;29?lc42900c?==:188yg5503:157>50z&1<c<50k1C>4;4H3;3?!72>390e?650;9j6d<722c9o7>5;h0g>5<<aj81<75fce83>>ob;3:17b<<2;29?j44;3:17pl<2883>1<729q/>5h515a8L7?23A82<6*>5781?l>>2900en<50;9ja6<722e9??4?::a77g=8331<7>t$3:e>7>e3A8296F=919'500=;2c947>5;h0b>5<<a;i1<75f2e83>>od:3:17dmk:188m`5=831d>><50;9l665=831vn><m:187>5<7s-83j7?;c:J1=0=O:0:0(<;9:39j<<<722ch>7>5;hg0>5<<g;996=44}c11g?6==3:1<v*=8g820a=O:0?0D?7?;h::>5<<aj81<75fe283>>o5;=0;66a=3383>>{e;;n1<7;50;2x 7>a28>o7E<65:J1=5=n000;66gl2;29?lc42900e?=;:188k7552900qo==e;291?6=8r.94k4>4e9K6<3<@;3;7d66:188mf4=831bi>4?::k171<722e9??4?::a77`=8391<7>t$3:e>43d3A8296F=919'500=:?1b=8<50;9j505=831d=5o50;9~f657290>6=4?{%0;b?73l2B9585G2828 4312;1b444?::k`6?6=3`o86=44i317>5<<g;996=44}c105?6=;3:1<v*=8g821f=O:0?0D?7?;%362?413`;>>7>5;h367?6=3f;3m7>5;|`077<72<0;6=u+29d951b<@;3>7E<60:&213<53`226=44ib094?=nm:0;66g=3583>>i5;;0;66sm32194?5=83:p(?6i:07`?M4>=2B95=5+144963=n9<81<75f14194?=h91k1<75rb217>5<2290;w)<7f;37`>N51<1C>4>4$075>7=n000;66gl2;29?lc42900e?=;:188k7552900qo=<5;297?6=8r.94k4>5b9K6<3<@;3;7)?:6;05?l72:3:17d?:3;29?j7?i3:17pl<3783>0<729q/>5h515f8L7?23A82<6*>5781?l>>2900en<50;9ja6<722c9?94?::m177<722wx5k650;0xZ47>3499m7k<;|q:b<<72;qU=<?4=20;>`5<uz3mm7>52z\243=:;;<1i>5rs8da>5<5sWl270==4;g0?xu>nj0;6<>t^bf896432jn01><::9;896412jn01><8:9;8964?2jn01><6:9;8964f2jn01><m:9;8964d21301><k:9;8964b21301>=?:9;8965521301>=;:9;896512130q~7id;2955}Yk;16??:5c39>773=k;16??85c39>771=k;16??65c39>77?=k;16??o5c39>77d=k;16??m5c39>77b=k;16??k5c39>766=k;16?><5c39>762=k;16?>85c39~w<`b2903wS<76:?06f<5;=16??j52268964b2;9?70=<0;000>;4;;09?9523269662<5:9=6?=;;|q:bc<72lqU=9:4=201>42a349987<n;<110?4d349987<k;<112?4f3499:7<l;<112?4c349947<n;<11<?4d349947<k;<11e?4f3499m7<l;<11e?4c3tyj<=4?:3y]514<5:9?6?==;|qb44<72;qU=>o4=211>7553tyj<?4?:3y]57`<5:9;6?==;|qb46<72;qU=?<4=215>7553tyj<94?:7y>775=90201><;:3:896412;201><7:3:8964f2;201><l:d18yvg7=3:1>v3<258177=:;;?1i>5rs`25>5<5s49997<<2:?070<6=;1vl>8:181855>388>63<268f7>{ti921<7<t=204>7553499j7?:2:pe5?=838p1><7:311?85513o87p}n0`83>7}:;;31>><4=212>4353tyj<o4?:3y>77g=::801><m:d18yvg7k3:1>v3<2c8177=:;:91=8<4}rc3`?6=:r78>n4=339>77b=m:1vl>j:181855l388>63<2d8f7>{ti9l1<7;t=20f>7553499j7?:3:?074<6=:16?>=51418965228?87p}n1183>7}:;;l1=5o4=213>`5<uzk:=7>52z?074<60h16?><5e29~wd752909w0=<3;3;e>;4;=0n?6s|a0194?4|5:9>6<6n;<102?c43twi?>950;795?7|@;3;7)<7f;752>\??38pi7h5}hd:>5<#:;l1j55a23g94>=nkm0;66gl2;29?l4?>3:17b?=2;29 74a288:7c<=e;28?g5403:1=7>50z&1<c<6=91C>4;4H3;3?j73n3:17p}n1583>7}Y9;801>=7:06e?x{e;:31<7;51;3xL7?73-83j7;96:X;3?4|m3l1qdh6:18'67`=n11e>?k50:9jga<722ch>7>5;h0;2?6=3f;9>7>5$30e>4463g89i7>4;c10e?6=93:1<v*=8g8215=O:0?0D?7?;n37b?6=3tyj=84?:3y]574<5:9j6<:i;|a76d=838>69o55dyK6<6<,;2m6888;[:4>7}22?0ve<>>:18'67`=99:0b?<j:198mc`=83.9>k4>019m67c=921bjh4?:%01b?7782d9>h4=;:ke`?6=,;8m6<>?;o01a?5<3`lh6=4+23d9556<f;8n6954ig`94?"5:o0:<=5a23g91>=nnh0;6)<=f;334>h5:l0=76g>2183>!45n3;;<6`=2d84?>o69o0;6)<=f;334>h5:l0376g>1d83>!45n3;;<6`=2d8:?>o69m0;6)<=f;334>h5:l0j76g>1b83>!45n3;;<6`=2d8a?>o69k0;6)<=f;334>h5:l0h76g>1883>!45n3;;<6`=2d8g?>o6980;6)<=f;334>h5:l0n76g>0783>!45n3;;<6`=2d8e?>oa13:1(?<i:023?k45m3;;76gld;29?le52900e?69:188k44f290/>?h513;8j74b2910c<<7:18'67`=9;30b?<j:098k440290/>?h513;8j74b2;10c<<9:18'67`=9;30b?<j:298k442290/>?h513;8j74b2=10c<<;:18'67`=9;30b?<j:498k444290/>?h513;8j74b2?10c<:6:18'67`=9;30b?<j:698k42?290/>?h513;8j74b2110c<:8:18'67`=9;30b?<j:898k421290/>?h513;8j74b2h10c<:::18'67`=9;30b?<j:c98k423290/>?h513;8j74b2j10c<:=:18'67`=9;30b?<j:e98k45f290/>?h513;8j74b2l10c<<i:18'67`=9;30b?<j:g98k445290/>?h513;8j74b28:07o=<c;295?6=8r.94k4>519K6<3<@;3;7b?;f;29?xd4;m0;6<4?:1y'6=`=:0;0D?7:;I0:4>i6110;66sm32g94??=83:p(?6i:3:a?M4>=2B95=5+14497>o503:17d<n:188m7e=831b>i4?::k`6?6=3`io6=44id194?=h::81<75`22194?=zj:9m6=4;:183!4?n3;?o6F=949K6<6<,8?=6?5f8883>>od:3:17dk<:188k7552900qo=;0;29=?6=8r.94k4=8c9K6<3<@;3;7)?:6;18m7>=831b>l4?::k1g?6=3`8o6=44ib094?=nkm0;66gj3;29?j44:3:17b<<3;29?xd4<80;694?:1y'6=`=9=i0D?7:;I0:4>"6=?097d66:188mf4=831bi>4?::m177<722wi?9<50;;94?6|,;2m6?6m;I0:1>N5191/=8853:k1<?6=3`8j6=44i3a94?=n:m0;66gl2;29?lec2900eh=50;9l664=831d>>=50;9~f624290?6=4?{%0;b?73k2B9585G2828 4312;1b444?::k`6?6=3`o86=44o311>5<<uk9?87>59;294~"50o094o5G2878L7?73-;>:7=4i3:94?=n:h0;66g=c;29?l4c2900en<50;9jga<722cn?7>5;n006?6=3f88?7>5;|`000<72=0;6=u+29d951e<@;3>7E<60:&213<53`226=44ib094?=nm:0;66a=3383>>{e;=<1<7750;2x 7>a2;2i7E<65:J1=5=#9<<1?6g=8;29?l4f2900e?m50;9j6a<722ch>7>5;hag>5<<al91<75`22094?=h::91<75rb264>5<3290;w)<7f;37g>N51<1C>4>4$075>7=n000;66gl2;29?lc42900c?==:188yg5303:157>50z&1<c<50k1C>4;4H3;3?!72>390e?650;9j6d<722c9o7>5;h0g>5<<aj81<75fce83>>ob;3:17b<<2;29?j44;3:17pl<4883>1<729q/>5h515a8L7?23A82<6*>5781?l>>2900en<50;9ja6<722e9??4?::a71g=8331<7>t$3:e>7>e3A8296F=919'500=;2c947>5;h0b>5<<a;i1<75f2e83>>od:3:17dmk:188m`5=831d>><50;9l665=831vn>:m:187>5<7s-83j7?;c:J1=0=O:0:0(<;9:39j<<<722ch>7>5;hg0>5<<g;996=44}c17g?6=13:1<v*=8g81<g=O:0?0D?7?;%362?5<a;21<75f2`83>>o5k3:17d<k:188mf4=831boi4?::kf7?6=3f88>7>5;n007?6=3th88i4?:583>5}#:1l1=9m4H3;6?M4>82.:9;4=;h::>5<<aj81<75fe283>>i5;;0;66sm35g94??=83:p(?6i:3:a?M4>=2B95=5+14497>o503:17d<n:188m7e=831b>i4?::k`6?6=3`io6=44id194?=h::81<75`22194?=zj:>m6=4;:183!4?n3;?o6F=949K6<6<,8?=6?5f8883>>od:3:17dk<:188k7552900qo=:0;29=?6=8r.94k4=8c9K6<3<@;3;7)?:6;18m7>=831b>l4?::k1g?6=3`8o6=44ib094?=nkm0;66gj3;29?j44:3:17b<<3;29?xd4=80;694?:1y'6=`=9=i0D?7:;I0:4>"6=?097d66:188mf4=831bi>4?::m177<722wi?8<50;;94?6|,;2m6?6m;I0:1>N5191/=8853:k1<?6=3`8j6=44i3a94?=n:m0;66gl2;29?lec2900eh=50;9l664=831d>>=50;9~f634290?6=4?{%0;b?73k2B9585G2828 4312;1b444?::k`6?6=3`o86=44o311>5<<uk9>87>59;294~"50o094o5G2878L7?73-;>:7=4i3:94?=n:h0;66g=c;29?l4c2900en<50;9jga<722cn?7>5;n006?6=3f88?7>5;|`010<72=0;6=u+29d951e<@;3>7E<60:&213<53`226=44ib094?=nm:0;66a=3383>>{e;<<1<7750;2x 7>a2;2i7E<65:J1=5=#9<<1?6g=8;29?l4f2900e?m50;9j6a<722ch>7>5;hag>5<<al91<75`22094?=h::91<75rb274>5<3290;w)<7f;37g>N51<1C>4>4$075>7=n000;66gl2;29?lc42900c?==:188yg5203:157>50z&1<c<50k1C>4;4H3;3?!72>390e?650;9j6d<722c9o7>5;h0g>5<<aj81<75fce83>>ob;3:17b<<2;29?j44;3:17pl<5883>1<729q/>5h515a8L7?23A82<6*>5781?l>>2900en<50;9ja6<722e9??4?::a70g=8331<7>t$3:e>7>e3A8296F=919'500=;2c947>5;h0b>5<<a;i1<75f2e83>>od:3:17dmk:188m`5=831d>><50;9l665=831vn>;m:187>5<7s-83j7?;c:J1=0=O:0:0(<;9:39j<<<722ch>7>5;hg0>5<<g;996=44}c16g?6=13:1<v*=8g81<g=O:0?0D?7?;%362?5<a;21<75f2`83>>o5k3:17d<k:188mf4=831boi4?::kf7?6=3f88>7>5;n007?6=3th89i4?:583>5}#:1l1=9m4H3;6?M4>82.:9;4=;h::>5<<aj81<75fe283>>i5;;0;66sm34g94??=83:p(?6i:3:a?M4>=2B95=5+14497>o503:17d<n:188m7e=831b>i4?::k`6?6=3`io6=44id194?=h::81<75`22194?=zj:?m6=4;:183!4?n3;?o6F=949K6<6<,8?=6?5f8883>>od:3:17dk<:188k7552900qo=90;291?6=8r.94k4>4e9K6<3<@;3;7d66:188mf4=831bi>4?::k171<722e9??4?::a737=83?1<7>t$3:e>42c3A8296F=919j<<<722ch>7>5;hg0>5<<a;9?6=44o311>5<<uk9=>7>55;294~"50o0:8i5G2878L7?73`226=44ib094?=nm:0;66g=3583>>i5;;0;66sm37194?3=83:p(?6i:06g?M4>=2B95=5f8883>>od:3:17dk<:188m7532900c?==:188yg51<3:1?7>50z&1<c<6=j1C>4;4H3;3?!72>38=7d?:2;29?l72;3:17b?7a;29?xd4><0;684?:1y'6=`=9=n0D?7:;I0:4>"6=?097d66:188mf4=831bi>4?::k171<722e9??4?::a730=8391<7>t$3:e>43d3A8296F=919'500=:?1b=8<50;9j505=831d=5o50;9~f600290>6=4?{%0;b?73l2B9585G2828 4312;1b444?::k`6?6=3`o86=44i317>5<<g;996=44}c15<?6=;3:1<v*=8g821f=O:0?0D?7?;%362?413`;>>7>5;h367?6=3f;3m7>5;|`02<<72<0;6=u+29d951b<@;3>7E<60:&213<53`226=44ib094?=nm:0;66g=3583>>i5;;0;66sm37c94?5=83:p(?6i:07`?M4>=2B95=5+144963=n9<81<75f14194?=h91k1<75rb24a>5<2290;w)<7f;37`>N51<1C>4>4$075>7=n000;66gl2;29?lc42900e?=;:188k7552900qo=9c;297?6=8r.94k4>5b9K6<3<@;3;7)?:6;05?l72:3:17d?:3;29?j7?i3:17pl<6e83>0<729q/>5h515f8L7?23A82<6*>5781?l>>2900en<50;9ja6<722c9?94?::m177<722wi?;k50;194?6|,;2m6<;l;I0:1>N5191/=885279j504=831b=8=50;9l5=g=831vn>8i:186>5<7s-83j7?;d:J1=0=O:0:0(<;9:39j<<<722ch>7>5;hg0>5<<a;9?6=44o311>5<<uk9<<7>53;294~"50o0:9n5G2878L7?73-;>:7<9;h366?6=3`;>?7>5;n3;e?6=3th8;<4?:483>5}#:1l1=9j4H3;6?M4>82.:9;4=;h::>5<<aj81<75fe283>>o5;=0;66a=3383>>{e;>81<7=50;2x 7>a28?h7E<65:J1=5=#9<<1>;5f14094?=n9<91<75`19c94?=zj:=86=4::183!4?n3;?h6F=949K6<6<,8?=6?5f8883>>od:3:17dk<:188m7532900c?==:188yg50<3:1?7>50z&1<c<6=j1C>4;4H3;3?!72>38=7d?:2;29?l72;3:17b?7a;29?xd4?<0;684?:1y'6=`=9=n0D?7:;I0:4>"6=?097d66:188mf4=831bi>4?::k171<722e9??4?::a720=8391<7>t$3:e>43d3A8296F=919'500=:?1b=8<50;9j505=831d=5o50;9~f610290>6=4?{%0;b?73l2B9585G2828 4312;1b444?::k`6?6=3`o86=44i317>5<<g;996=44}c14<?6=;3:1<v*=8g821f=O:0?0D?7?;%362?413`;>>7>5;h367?6=3f;3m7>5;|`03<<72<0;6=u+29d951b<@;3>7E<60:&213<53`226=44ib094?=nm:0;66g=3583>>i5;;0;66sm36c94?5=83:p(?6i:07`?M4>=2B95=5+144963=n9<81<75f14194?=h91k1<75rb25a>5<2290;w)<7f;37`>N51<1C>4>4$075>7=n000;66gl2;29?lc42900e?=;:188k7552900qo=8c;297?6=8r.94k4>5b9K6<3<@;3;7)?:6;05?l72:3:17d?:3;29?j7?i3:17pl<7e83>0<729q/>5h515f8L7?23A82<6*>5781?l>>2900en<50;9ja6<722c9?94?::m177<722wi?:k50;194?6|,;2m6<;l;I0:1>N5191/=885279j504=831b=8=50;9l5=g=831vn>9i:186>5<7s-83j7?;d:J1=0=O:0:0(<;9:39j<<<722ch>7>5;hg0>5<<a;9?6=44o311>5<<uk93<7>53;294~"50o0:9n5G2878L7?73-;>:7<9;h366?6=3`;>?7>5;n3;e?6=3th84<4?:483>5}#:1l1=9j4H3;6?M4>82.:9;4=;h::>5<<aj81<75fe283>>o5;=0;66a=3383>>{e;181<7=50;2x 7>a28?h7E<65:J1=5=#9<<1>;5f14094?=n9<91<75`19c94?=zj:286=4::183!4?n3;?h6F=949K6<6<,8?=6?5f8883>>od:3:17dk<:188m7532900c?==:188yg5?<3:1?7>50z&1<c<6=j1C>4;4H3;3?!72>38=7d?:2;29?l72;3:17b?7a;29?xd40<0;684?:1y'6=`=9=n0D?7:;I0:4>"6=?097d66:188mf4=831bi>4?::k171<722e9??4?::pe40=838pR<>>;<16a?c43tyj=:4?:3y]bc=:;<i1i>5rs`3;>5<5sWln70=:a;g0?xuf900;6?uQfe9>70>=m:1vl?n:181[`d349>:7k<;|qb5g<72;qUjo523469a6=z{h;h6=4={_db?852:3o87p}n1e83>7}Y9;:01>;?:d18yvg6m3:1>vP>1g9>71c=m:1vl?i:181[76m2788n4j3:pe76=838pR<?k;<17e?c43tyj><4?:3y]54e<5:>36h=4}rc16?6=:rT:=o523549a6=z{h886=4={_32=>;4<=0n?6s|a3694?4|V8;:70=<e;g0?xuf:<0;6?uQ114896252l90q~o=6;296~Xa12788=4j3:pe71=8393wSmk;<10a?ec3498j766;<174?ec349?=766;<176?ec349??766;<170?ec349?9766;<172?ec349?;766;<17<?ec349?5766;<17e?ec349?n766;<17g?ec349?h766;<17a?ec349?j766;<164?ec349>=766;<166?ec349>?766;<160?ec349>9766;<162?ec349>;766;<16<?ec349>5766;<16e?ec349>n766;<16g?ec349>h766;<16a?ec349>j766;<154?>>349==766;<156?>>349=?766;<151?>>349=;766;<15=?>>349=n766;<15`?>>349=j766;<145?>>349<?766;<141?>>349<;766;<14=?>>349<n766;<14`?>>349<j766;<1;5?>>3493?766;<1;1?>>3tyj>54?:2:xZf4<5:9n6n<4=21e>f4<5:>;6n<4=262>f4<5:>96n<4=260>f4<5:>?6n<4=266>f4<5:>=6n<4=264>f4<5:>36n<4=26:>f4<5:>j6n<4=26a>f4<5:>h6n<4=26g>f4<5:>n6n<4=26e>f4<5:?;6n<4=272>f4<5:?96n<4=270>f4<5:??6n<4=276>f4<5:?=6n<4=274>f4<5:?36n<4=27:>f4<5:?j6n<4=27a>f4<5:?h6n<4=27g>f4<5:?n6n<4=27e>f4<5:<;6n<4=242>f4<5:<96n<4=240>f4<5:<>6n<4=244>f4<5:<26n<4=24a>f4<5:<o6n<4=24e>f4<5:=:6n<4=250>f4<5:=>6n<4=254>f4<5:=26n<4=25a>f4<5:=o6n<4=25e>f4<5:2:6n<4=2:0>f4<5:2>6n<4}rc1=?6=9?qU>584=243>753349==7<<4:?027<5;=16?;=5226896022;9?70=97;000>;4>009?95237`9662<5:<o6?=;;<15b?44<278;<4=359>725=::>01>9::317?850?388863<788171=:;>h1>>:4=25g>753349<j7<<4:?0<4<5;=16?5=5226896>22;9?7p}n2`83>7}Y9;k01>9<:311?xuf:k0;6?uQ13:896162;997p}n2b83>7}Y9;=01>8i:311?xuf:m0;6?uQ1348960c2;997p}n2d83>7}Y9;?01>8m:311?xuf:o0;6?uQ1368960>2;997p}n3183>7}Y9;901>88:311?xuf;80;6?uQ15;896022;997p}n3383>7}Y9=201>6<:311?xuf;:0;6?uQ155896>62;997p}n3583>7}Y9=<01>9i:311?xuf;<0;6?uQ1578961c2;997p}n3783>7}Y9=>01>9m:311?xuf;>0;6?uQ1508961>2;997p}n3983>7}Y9:k01>6::311?xuf;00;6?uQ13d896102;997p}n3`83>7}Y9;801>9::311?xuf;k0;6>:t=21`>42a3498i7<7;<10a?4d3498i7<k;<174?4?349?<7<l;<174?4c349?>7<7;<176?4d349?>7<k;<170?4?349?87<l;<170?4c349?:7<7;<172?4d349?:7<k;<17<?4?349?47<l;<17<?4c349?m7<7;<17e?4d349?m7<k;<17g?4?349?o7<l;<17g?4c349?i7<7;<17a?4d349?i7<k;<164?4?349><7<l;<164?4c349>>7<7;<166?4d349>>7<k;<160?4?349>87<l;<160?4c349>:7<7;<162?4d349>:7<k;<16<?4?349>47<l;<16<?4c349>m7<7;<16e?4d349>m7<k;<16g?4?349>o7<l;<16g?4c349>i7<7;<16a?4d349>i7<k;|qb7f<7289p1>=k:0;;?854m38j70=;0;0b?853:38j70=;4;0b?853>38j70=;8;0b?853i38j70=;c;0b?853m38j70=:0;0b?852:38j70=:4;0b?852>38j70=:8;0b?852i38j70=:c;0b?852m38j70=90;g0?xuf;m0;6?u232g9664<5:9m6h=4}rc0a?6=:r78?k4=339>7=2=9<80q~o<f;296~;4<909??523539a6=z{h>;6=4={<175?44:278;94>539~wd262909w0=;2;006>;4<:0n?6s|a5094?4|5:>86?==;<142?72:2wxm9=50;0x96232;9970=;5;g0?xuf<=0;6?u23579664<5:=36<;=;|qb00<72;q6?985220896202l90q~o;6;296~;4<>09??5236c9504<uzk?;7>52z?00=<5;;16?975e29~wd2?2909w0=;9;006>;4?j0:9?5rs`6:>5<5s49?m7<<2:?00g<b;2wxm9o50;0x962e2;9970=8e;366>{ti=h1<7<t=26`>755349?h7k<;|qb0f<72;q6?9j5220896>728?97p}n4e83>7}:;=o1>><4=26e>`5<uzk?i7>52z?00c<5;;16?5<51408yvg3n3:1>v3<518177=:;<;1i>5rs`73>5<5s49>=7<<2:?021<6=;1vl;>:181852:388>63<528f7>{ti<81<7<t=270>755349=:7?:2:pe05=838p1>;;:311?852=3o87p}n5583>7}:;<?1>><4=24;>4353tyj984?:3y>700=::801>;8:d18yvg2>3:1>v3<568177=:;?k1=8<4}rc63?6=:r78954=339>70?=m:1vl;7:1818521388>63<6b8217=z{h?26=4={<16e?44:2789o4j3:pe0g=838p1>;m:311?851m3;>>6s|a4`94?4|5:?h6?==;<16`?c43tyj9n4?:3y>70b=::801>9?:071?xuf=m0;6?u234g9664<5:?m6h=4}rc6a?6=:r789k4=339>724=9<80q~o:f;296~;4>909??523739a6=z{h<;6=4={<155?44:278:?4j3:pe37=838p1>8=:311?851;3o87p}n6383>44|5:<86?==;<150?72;278:;4>529>73>=9<901>8n:070?851k3;>?63<6d8216=:;>:1=8=4=251>434349<87?:3:?033<6=:16?:651418961f28?870=8c;367>;4?l0:9>523929505<5:296<;<;<1;0?72;2wxm;=50;0x9603282j70=95;g0?xuf>=0;6?u237495=g<5:<<6h=4}rc51?6=:r78:54>8`9>73?=m:1vl89:181851i3;3m63<6c8f7>{ti?=1<7<t=24`>4>f349=h7k<;|qb2=<72;q6?;k519c8960a2l90q~o99;296~;4?90:4l523639a6=z{h<j6=4={<146?7?i278;>4j3:pe3d=838p1>9;:0:b?850=3o87p}n6b83>7}:;><1=5o4=254>`5<uzk=h7>52z?03=<60h16?:75e29~wd0b2909w0=8a;3;e>;4?k0n?6s|a7d94?4|5:=h6<6n;<14`?c43tyj;=4?:3y>72c=91k01>9i:d18yvg093:1>v3<8182<d=:;1;1i>5rs`51>5<5s493>7?7a:?0<6<b;2wxm:=50;0x96>3282j70=75;g0?x{e;1<1<7;51;3xL7?73-83j7;98:X;3?4|m3l1qdh6:18'67`=n11e>?k50:9jga<722ch>7>5;h0;2?6=3f;9>7>5$30e>4463g89i7>4;c1;3?6=93:1<v*=8g8215=O:0?0D?7?;n37b?6=3tyj;94?:3y]574<5:2<6<:i;|a7=>=838>6?<537yK6<6<,;2m6886;[:4>7}22?0ve<>>:18'67`=99:0b?<j:198mc`=83.9>k4>019m67c=921bjh4?:%01b?7782d9>h4=;:ke`?6=,;8m6<>?;o01a?5<3`lh6=4+23d9556<f;8n6954ig`94?"5:o0:<=5a23g91>=nnh0;6)<=f;334>h5:l0=76g>2183>!45n3;;<6`=2d84?>o69o0;6)<=f;334>h5:l0376g>1d83>!45n3;;<6`=2d8:?>o69m0;6)<=f;334>h5:l0j76g>1b83>!45n3;;<6`=2d8a?>o69k0;6)<=f;334>h5:l0h76g>1883>!45n3;;<6`=2d8g?>o6980;6)<=f;334>h5:l0n76g>0783>!45n3;;<6`=2d8e?>oa13:1(?<i:023?k45m3;;76gld;29?le52900e?69:188k44f290/>?h513;8j74b2910c<<7:18'67`=9;30b?<j:098k440290/>?h513;8j74b2;10c<<9:18'67`=9;30b?<j:298k442290/>?h513;8j74b2=10c<<;:18'67`=9;30b?<j:498k444290/>?h513;8j74b2?10c<:6:18'67`=9;30b?<j:698k42?290/>?h513;8j74b2110c<:8:18'67`=9;30b?<j:898k421290/>?h513;8j74b2h10c<:::18'67`=9;30b?<j:c98k423290/>?h513;8j74b2j10c<:=:18'67`=9;30b?<j:e98k45f290/>?h513;8j74b2l10c<<i:18'67`=9;30b?<j:g98k445290/>?h513;8j74b28:07o=79;291?6=8r.94k4>4e9K6<3<@;3;7)?:6;08m=?=831bo?4?::kf7?6=3`8887>5;n006?6=3th84l4?:483>5}#:1l1=9j4H3;6?M4>82.:9;4=;h::>5<<aj81<75fe283>>o5;=0;66a=3383>>{e;1h1<7;50;2x 7>a28>o7E<65:J1=5=#9<<1>6g79;29?le52900eh=50;9j662=831d>><50;9~f6>d290>6=4?{%0;b?73l2B9585G2828 4312;1b444?::k`6?6=3`o86=44i317>5<<g;996=44}c1;`?6==3:1<v*=8g820a=O:0?0D?7?;%362?4<a131<75fc383>>ob;3:17d<<4;29?j44:3:17pl<8d83>0<729q/>5h515f8L7?23A82<6*>5781?l>>2900en<50;9ja6<722c9?94?::m177<722wi?5h50;794?6|,;2m6<:k;I0:1>N5191/=8852:k;=?6=3`i96=44id194?=n::>1<75`22094?=zj:3;6=4::183!4?n3;?h6F=949K6<6<,8?=6?5f8883>>od:3:17dk<:188m7532900c?==:188yg5>93:197>50z&1<c<6<m1C>4;4H3;3?!72>380e5750;9jg7<722cn?7>5;h000?6=3f88>7>5;|`0=7<72<0;6=u+29d951b<@;3>7E<60:&213<53`226=44ib094?=nm:0;66g=3583>>i5;;0;66sm38194?3=83:p(?6i:06g?M4>=2B95=5+14496>o?13:17dm=:188m`5=831b>>:50;9l664=831vn>7;:186>5<7s-83j7?;d:J1=0=O:0:0(<;9:39j<<<722ch>7>5;hg0>5<<a;9?6=44o311>5<<uk9297>55;294~"50o0:8i5G2878L7?73-;>:7<4i9;94?=nk;0;66gj3;29?l44<3:17b<<2;29?xd41?0;684?:1y'6=`=9=n0D?7:;I0:4>"6=?097d66:188mf4=831bi>4?::k171<722e9??4?::a7<1=83?1<7>t$3:e>42c3A8296F=919'500=:2c357>5;ha1>5<<al91<75f22694?=h::81<75rb2;;>5<2290;w)<7f;37`>N51<1C>4>4$075>7=n000;66gl2;29?lc42900e?=;:188k7552900qo=69;291?6=8r.94k4>4e9K6<3<@;3;7)?:6;08m=?=831bo?4?::kf7?6=3`8887>5;n006?6=3th85l4?:483>5}#:1l1=9j4H3;6?M4>82.:9;4=;h::>5<<aj81<75fe283>>o5;=0;66a=3383>>{e;0h1<7;50;2x 7>a28>o7E<65:J1=5=#9<<1>6g79;29?le52900eh=50;9j662=831d>><50;9~f6?d290>6=4?{%0;b?73l2B9585G2828 4312;1b444?::k`6?6=3`o86=44i317>5<<g;996=44}c1:`?6==3:1<v*=8g820a=O:0?0D?7?;%362?4<a131<75fc383>>ob;3:17d<<4;29?j44:3:17pl<9d83>0<729q/>5h515f8L7?23A82<6*>5781?l>>2900en<50;9ja6<722c9?94?::m177<722wi?4h50;794?6|,;2m6<:k;I0:1>N5191/=8852:k;=?6=3`i96=44id194?=n::>1<75`22094?=zj:k;6=4::183!4?n3;?h6F=949K6<6<,8?=6?5f8883>>od:3:17dk<:188m7532900c?==:188yg5f93:197>50z&1<c<6<m1C>4;4H3;3?!72>380e5750;9jg7<722cn?7>5;h000?6=3f88>7>5;|`0e7<72<0;6=u+29d951b<@;3>7E<60:&213<53`226=44ib094?=nm:0;66g=3583>>i5;;0;66sm3`194?3=83:p(?6i:06g?M4>=2B95=5+14496>o?13:17dm=:188m`5=831b>>:50;9l664=831vn>o;:186>5<7s-83j7?;d:J1=0=O:0:0(<;9:39j<<<722ch>7>5;hg0>5<<a;9?6=44o311>5<<uk9j97>55;294~"50o0:8i5G2878L7?73-;>:7<4i9;94?=nk;0;66gj3;29?l44<3:17b<<2;29?xd4i?0;684?:1y'6=`=9=n0D?7:;I0:4>"6=?097d66:188mf4=831bi>4?::k171<722e9??4?::a7d1=83?1<7>t$3:e>42c3A8296F=919'500=:2c357>5;ha1>5<<al91<75f22694?=h::81<75rb2c;>5<2290;w)<7f;37`>N51<1C>4>4$075>7=n000;66gl2;29?lc42900e?=;:188k7552900qo=n9;291?6=8r.94k4>4e9K6<3<@;3;7)?:6;08m=?=831bo?4?::kf7?6=3`8887>5;n006?6=3th8ml4?:483>5}#:1l1=9j4H3;6?M4>82.:9;4=;h::>5<<aj81<75fe283>>o5;=0;66a=3383>>{ti>?1<7<t^022?85>13o87p}n7783>7}Yno16?465e29~wd102909wShj;<1:3?c43tyj;54?:3y]ba=:;0<1i>5rs`5:>5<5sWlh70=65;g0?xuf?h0;6?uQfc9>7<2=m:1vl9m:181[`f3492?7k<;|qb3f<72;qU=?>4=2;1>`5<uzk<h7>52z\25c=:;0;1i>5rs`5f>5<5sW;:i63<918f7>{ti>l1<7<t^03g?85?n3o87p}n8183>7}Y98i01>6j:d18yvg?93:1>vP>1c9>7=b=m:1vl6=:181[7612784n4j3:pe=5=838pR<?>;<1;f?c43tyj494?:3y]550<5:2j6h=4}rc;1?6=:rTm563<888f7>{ti1<1<7<<{_ag?85?132270=7a;::?85?j32270=7c;::?85?l32270=7e;::?85?n32270=60;::?85>932270=62;::?85>;32270=64;::?85>=32270=66;::?85>?32270=68;::?85>132270=6a;::?85>j32270=6c;::?85>l32270=6e;::?85>n32270=n0;::?85f932270=n2;::?85f;32270=n4;::?85f=32270=n6;::?85f?32270=n8;::?85f132270=na;::?xuf0>0;6?=t^b0896>>2j801>6n:b0896>e2j801>6l:b0896>c2j801>6j:b0896>a2j801>7?:b0896?62j801>7=:b0896?42j801>7;:b0896?22j801>79:b0896?02j801>77:b0896?>2j801>7n:b0896?e2j801>7l:b0896?c2j801>7j:b0896?a2j801>o?:b0896g62j801>o=:b0896g42j801>o;:b0896g22j801>o9:b0896g02j801>o7:b0896g>2j801>on:b08yvg?03:1>>uQ294896>>2;9?70=7a;000>;40k09?95239a9662<5:2o6?=;;<1;a?44<2784k4=359>7<6=::>01>7>:317?85>:388863<928171=:;0>1>>:4=2;6>7533492:7<<4:?0=2<5;=16?465226896?>2;9?70=6a;000>;41k09?95238a9662<5:3o6?=;;<1:a?44<2785k4=359>7d6=::>01>o>:317?85f:388863<a28171=:;h>1>>:4=2c6>753349j:7<<4:?0e2<5;=16?l65226896g>2;9?70=na;000>{ti131<7<t=2;:>755349jm7k<;|qb<d<72;q6?465220896g>2l90q~o7b;296~;41>09??523`:9a6=z{h2h6=4={<1:2?44:278m:4j3:pe=b=838p1>7::311?85f>3o87p}n8d83>7}:;0>1>><4=2c6>`5<uzk3j7>52z?0=6<5;;16?l:5e29~wd?72909w0=62;006>;4i:0n?6s|a8394?4|5:3:6?==;<1b6?c43tyj5?4?:3y>7<6=::801>o>:d18yvg>;3:1>v3<8g8177=:;h:1i>5rs`;7>5<5s493i7<<2:?0=c<b;2wxm4;50;0x96>c2;9970=6e;g0?xuf1?0;6?u239a9664<5:3o6h=4}rc:3?6=:r784o4=339>7<e=m:1vl77:18185?i388>63<9c8f7>{ti031<7<t=2::>7553492m7k<;|qb=d<72;qU=?o4=2cb>7553tyj5o4?:3y]57><5:k26?==;|qb=f<72;qU=?94=2c;>7553tyj5i4?:3y]570<5:k<6?==;|qb=`<72;qU=?;4=2c5>7553tyj5k4?:3y]572<5:k>6?==;|qbe5<72;qU=?=4=2c7>7553tyjm<4?:3y]51?<5:k86?==;|qbe7<72;qU=964=2c1>7553tyjm>4?:3y]511<5:k:6?==;|qbe1<72;qU=984=2c3>7553tyjm84?:3y]513<5:3m6?==;|qbe3<72;qU=9:4=2;f>7553tyjm:4?:3y]514<5:3o6?==;|qbe=<72;qU=>o4=2;`>7553tyjm44?:3y]57`<5:3i6?==;|qbed<72;qU=?<4=2;b>7553twi?ll50;6a>5<5<rB95=5+29d913g<R1=1>v?::049yl7603:1(?<i:034?k45m3:07d?>6;29 74a28;<7c<=e;38?l76=3:1(?<i:034?k45m3807d?>4;29 74a28;<7c<=e;18?l76;3:1(?<i:034?k45m3>07d?>2;29 74a28;<7c<=e;78?l7683:1(?<i:034?k45m3<07d??f;29 74a28;<7c<=e;58?l77m3:1(?<i:034?k45m3207d??d;29 74a28;<7c<=e;;8?l77k3:1(?<i:034?k45m3k07d??b;29 74a28;<7c<=e;`8?l77i3:1(?<i:034?k45m3i07d??9;29 74a28;<7c<=e;f8?l7703:1(?<i:034?k45m3o07d??7;29 74a28;<7c<=e;d8?l77=3:1(?<i:034?k45m3;;76g>0583>!45n3;:;6`=2d825>=n9991<7*=2g8252=i:;o1=?54i022>5<#:;l1=<94n30f>45<3`lm6=4+23d9541<f;8n6<:4;hdf>5<#:;l1=<94n30f>43<3`lo6=4+23d9541<f;8n6<84;hd`>5<#:;l1=<94n30f>41<3`li6=4+23d9541<f;8n6<64;hdb>5<#:;l1=<94n30f>4?<3`;9<7>5$30e>4703g89i7?n;:k25c<72-89j7?>7:l16`<6j21b=<k50;&16c<69>1e>?k51b98m47c290/>?h51058j74b28n07d?>c;29 74a28;<7c<=e;3f?>o69k0;6)<=f;323>h5:l0:j65f10;94?"5:o0:=:5a23g965=<a8;:6=4+23d9541<f;8n6??4;h332?6=,;8m6<?8;o01a?4532cm57>5$30e>4703g89i7<<;:k``?6=3`i96=44i3:5>5<<g8>:6=4+23d9516<f;8n6=54o01e>5<#:;l1=9>4n30f>4=<g89n6=4+23d9516<f;8n6?54o01g>5<#:;l1=9>4n30f>6=<g89h6=4+23d9516<f;8n6954o01a>5<#:;l1=9>4n30f>0=<g8926=4+23d9516<f;8n6;54o01;>5<#:;l1=9>4n30f>2=<g89<6=4+23d9516<f;8n6554o015>5<#:;l1=9>4n30f><=<g89>6=4+23d9516<f;8n6l54o017>5<#:;l1=9>4n30f>g=<g8986=4+23d9516<f;8n6n54o011>5<#:;l1=9>4n30f>a=<g89:6=4+23d9516<f;8n6h54o013>5<#:;l1=9>4n30f>c=<g88n6=4+23d9516<f;8n6<>4;n31`?6=,;8m6<:?;o01a?7632e:>n4?:%01b?7382d9>h4>2:9l57g=83.9>k4>419m67c=9:10c<<7:18'67`=9=:0b?<j:068?j75?3:1(?<i:063?k45m3;>76a>2783>!45n3;?<6`=2d822>=h9;?1<7*=2g8205=i:;o1=:54o007>5<#:;l1=9>4n30f>4><3f;9?7>5$30e>4273g89i7?6;:m20<<72-89j7?;0:l16`<6i21d=9650;&16c<6<91e>?k51c98k420290/>?h51528j74b28i07b?;6;29 74a28>;7c<=e;3g?>i6<<0;6)<=f;374>h5:l0:i65`15694?"5:o0:8=5a23g95c=<g8>96=4+23d9516<f;8n6?>4;n30e?6=,;8m6<:?;o01a?4632e:>k4?:%01b?7382d9>h4=2:9l574=83.9>k4>419m67c=::10lom:181[7602T:8<5rs`c`>5<5sW;::6P>3g9~wdgc2909wS?>5:\27`=z{hkn6=4={_320>X6;m1vloi:181[76;2T:?n5rs``3>5<5sW;:>6P>3c9~wdd62909wS?>0:\27<=z{hh96=4={_33b>X6;11vll<:181[77m2T:?:5rs``7>5<5sW;;h6P>379~wdd22909wS??c:\270=z{hh=6=4={_33f>X6;=1vll8:181[77i2T:?>5rs``;>5<5sW;;56P>339~wdd>2909wS??8:\274=z{hhj6=4={_333>X6;91vllm:181[77=2T:>h5rs```>5<5sW;;86P>2e9~wddc2909wS??3:\26f=z{hhn6=4={_335>X6:h1vlli:181[`a3W;946s|ab294?4|Voo0R<<8;|qbg4<72;qUji5Q1348yvgd:3:1>vPic:\260=z{hi86=4={_da?[75<2wxmn:50;0xZcg<V8887p}nc483>7}Y9;:0R<:6;|qbg3<72;qU=<h4^06;?xufk>0;6?uQ10g8Z4203tyjo54?:3y]54b<V8>=7p}nc883>7}Y98i0R<::;|qbgd<72;qU=<l4^067?xufkk0;6?uQ10;8Z4253tyjon4?:3y]547<V89j7p}nce83>7}Y99<0R<<i;|qbg`<72;qUj45Q1308yxd4ij0;684?:1y'6=`=9=n0D?7:;I0:4>"6=?097d66:188mf4=831bi>4?::k171<722e9??4?::a7db=83?1<7>t$3:e>42c3A8296F=919'500=:2c357>5;ha1>5<<al91<75f22694?=h::81<75rb2cf>5<2290;w)<7f;37`>N51<1C>4>4$075>7=n000;66gl2;29?lc42900e?=;:188k7552900qo=nf;297?6=8r.94k4=959K6<3<@;3;7dml:188m43?2900c<6n:188yg5e83:1?7>50z&1<c<51=1C>4;4H3;3?led2900e<;7:188k4>f2900qo=m1;290?6=8r.94k4>849K6<3<@;3;7dml:188mc1=831b>>k50;9l5=g=831vn>l=:186>5<7s-83j7?:e:J1=0=O:0:0(<;9:328m4352900e<;<:188m4332900e<;::188k4>f2900qo=m3;297?6=8r.94k4=959K6<3<@;3;7dml:188m43?2900c<6n:188yg5e<3:187>50z&1<c<60<1C>4;4H3;3?led2900ek950;9j66c=831d=5o50;9~f6d2290>6=4?{%0;b?72m2B9585G2828 4312;:0e<;=:188m4342900e<;;:188m4322900c<6n:188yg5e>3:1?7>50z&1<c<51=1C>4;4H3;3?led2900e<;7:188k4>f2900qo=m7;290?6=8r.94k4>849K6<3<@;3;7dml:188mc1=831b>>k50;9l5=g=831vn>l7:186>5<7s-83j7?:e:J1=0=O:0:0(<;9:328m4352900e<;<:188m4332900e<;::188k4>f2900qo=m9;297?6=8r.94k4=959K6<3<@;3;7dml:188m43?2900c<6n:188yg5ei3:187>50z&1<c<60<1C>4;4H3;3?led2900ek950;9j66c=831d=5o50;9~f6de290>6=4?{%0;b?72m2B9585G2828 4312;:0e<;=:188m4342900e<;;:188m4322900c<6n:188yg5ek3:1?7>50z&1<c<51=1C>4;4H3;3?led2900e<;7:188k4>f2900qo=md;290?6=8r.94k4>849K6<3<@;3;7dml:188mc1=831b>>k50;9l5=g=831vn>lj:186>5<7s-83j7?:e:J1=0=O:0:0(<;9:328m4352900e<;<:188m4332900e<;::188k4>f2900qo=mf;297?6=8r.94k4=959K6<3<@;3;7dml:188m43?2900c<6n:188yg5d83:187>50z&1<c<60<1C>4;4H3;3?led2900ek950;9j66c=831d=5o50;9~f6e6290>6=4?{%0;b?72m2B9585G2828 4312;:0e<;=:188m4342900e<;;:188m4322900c<6n:188yg5d:3:1?7>50z&1<c<51=1C>4;4H3;3?led2900e<;7:188k4>f2900qo=l3;290?6=8r.94k4>849K6<3<@;3;7dml:188mc1=831b>>k50;9l5=g=831vn>m;:186>5<7s-83j7?:e:J1=0=O:0:0(<;9:328m4352900e<;<:188m4332900e<;::188k4>f2900qo=l5;297?6=8r.94k4=959K6<3<@;3;7dml:188m43?2900c<6n:188yg5d>3:187>50z&1<c<60<1C>4;4H3;3?led2900ek950;9j66c=831d=5o50;9~f6e0290>6=4?{%0;b?72m2B9585G2828 4312;:0e<;=:188m4342900e<;;:188m4322900c<6n:188yg5d03:1?7>50z&1<c<51=1C>4;4H3;3?led2900e<;7:188k4>f2900qo=l9;290?6=8r.94k4>849K6<3<@;3;7dml:188mc1=831b>>k50;9l5=g=831vn>mn:186>5<7s-83j7?:e:J1=0=O:0:0(<;9:328m4352900e<;<:188m4332900e<;::188k4>f2900qo=lb;297?6=8r.94k4=959K6<3<@;3;7dml:188m43?2900c<6n:188yg5dk3:187>50z&1<c<60<1C>4;4H3;3?led2900ek950;9j66c=831d=5o50;9~f6ec290>6=4?{%0;b?72m2B9585G2828 4312;:0e<;=:188m4342900e<;;:188m4322900c<6n:188yg5dm3:1?7>50z&1<c<51=1C>4;4H3;3?led2900e<;7:188k4>f2900qo=lf;290?6=8r.94k4>849K6<3<@;3;7dml:188mc1=831b>>k50;9l5=g=831vn>j?:186>5<7s-83j7?:e:J1=0=O:0:0(<;9:328m4352900e<;<:188m4332900e<;::188k4>f2900qo=k1;297?6=8r.94k4=959K6<3<@;3;7dml:188m43?2900c<6n:188yg5c:3:187>50z&1<c<60<1C>4;4H3;3?led2900ek950;9j66c=831d=5o50;9~f6b4290>6=4?{%0;b?72m2B9585G2828 4312;:0e<;=:188m4342900e<;;:188m4322900c<6n:188yg5c<3:1?7>50z&1<c<51=1C>4;4H3;3?led2900e<;7:188k4>f2900qo=k5;290?6=8r.94k4>849K6<3<@;3;7dml:188mc1=831b>>k50;9l5=g=831vn>j9:186>5<7s-83j7?:e:J1=0=O:0:0(<;9:328m4352900e<;<:188m4332900e<;::188k4>f2900qo=k7;297?6=8r.94k4=959K6<3<@;3;7dml:188m43?2900c<6n:188yg5c03:187>50z&1<c<60<1C>4;4H3;3?led2900ek950;9j66c=831d=5o50;9~f6b>290>6=4?{%0;b?72m2B9585G2828 4312;:0e<;=:188m4342900e<;;:188m4322900c<6n:188yg5ci3:1?7>50z&1<c<51=1C>4;4H3;3?led2900e<;7:188k4>f2900qo=kb;290?6=8r.94k4>849K6<3<@;3;7dml:188mc1=831b>>k50;9l5=g=831vn>jl:186>5<7s-83j7?:e:J1=0=O:0:0(<;9:328m4352900e<;<:188m4332900e<;::188k4>f2900qo=kd;297?6=8r.94k4=959K6<3<@;3;7dml:188m43?2900c<6n:188yg5cm3:187>50z&1<c<60<1C>4;4H3;3?led2900ek950;9j66c=831d=5o50;9~f6ba290>6=4?{%0;b?72m2B9585G2828 4312;:0e<;=:188m4342900e<;;:188m4322900c<6n:188yg5b83:1?7>50z&1<c<51=1C>4;4H3;3?led2900e<;7:188k4>f2900qo=j1;290?6=8r.94k4>849K6<3<@;3;7dml:188mc1=831b>>k50;9l5=g=831vn>k=:186>5<7s-83j7?:e:J1=0=O:0:0(<;9:328m4352900e<;<:188m4332900e<;::188k4>f2900qo=j3;297?6=8r.94k4=959K6<3<@;3;7dml:188m43?2900c<6n:188yg5b<3:187>50z&1<c<60<1C>4;4H3;3?led2900ek950;9j66c=831d=5o50;9~f6c2290>6=4?{%0;b?72m2B9585G2828 4312;:0e<;=:188m4342900e<;;:188m4322900c<6n:188yg5b>3:1?7>50z&1<c<51=1C>4;4H3;3?led2900e<;7:188k4>f2900qo=j7;290?6=8r.94k4>849K6<3<@;3;7dml:188mc1=831b>>k50;9l5=g=831vn>k7:186>5<7s-83j7?:e:J1=0=O:0:0(<;9:328m4352900e<;<:188m4332900e<;::188k4>f2900qo=j9;297?6=8r.94k4=959K6<3<@;3;7dml:188m43?2900c<6n:188yg5bi3:187>50z&1<c<60<1C>4;4H3;3?led2900ek950;9j66c=831d=5o50;9~f6ce290>6=4?{%0;b?72m2B9585G2828 4312;?0e<;=:188m4342900e<;;:188m4322900c<6n:188yg5bk3:1?7>50z&1<c<51=1C>4;4H3;3?led2900e<;7:188k4>f2900qo=jd;290?6=8r.94k4>849K6<3<@;3;7dml:188mc1=831b>>k50;9l5=g=831vn>kj:186>5<7s-83j7?:e:J1=0=O:0:0(<;9:378m4352900e<;<:188m4332900e<;::188k4>f2900qo=jf;297?6=8r.94k4=959K6<3<@;3;7dml:188m43?2900c<6n:188yg5a83:187>50z&1<c<60<1C>4;4H3;3?led2900ek950;9j66c=831d=5o50;9~f6`6290>6=4?{%0;b?72m2B9585G2828 4312;?0e<;=:188m4342900e<;;:188m4322900c<6n:188yg5a:3:1?7>50z&1<c<51=1C>4;4H3;3?led2900e<;7:188k4>f2900qo=i3;290?6=8r.94k4>849K6<3<@;3;7dml:188mc1=831b>>k50;9l5=g=831vn>h;:186>5<7s-83j7?:e:J1=0=O:0:0(<;9:378m4352900e<;<:188m4332900e<;::188k4>f2900qo=i5;297?6=8r.94k4=959K6<3<@;3;7dml:188m43?2900c<6n:188yg5a>3:187>50z&1<c<60<1C>4;4H3;3?led2900ek950;9j66c=831d=5o50;9~f6`0290>6=4?{%0;b?72m2B9585G2828 4312;?0e<;=:188m4342900e<;;:188m4322900c<6n:188yg5a03:1?7>50z&1<c<51=1C>4;4H3;3?led2900e<;7:188k4>f2900qo=i9;290?6=8r.94k4>849K6<3<@;3;7dml:188mc1=831b>>k50;9l5=g=831vn>hn:186>5<7s-83j7?:e:J1=0=O:0:0(<;9:378m4352900e<;<:188m4332900e<;::188k4>f2900qo=ib;297?6=8r.94k4=959K6<3<@;3;7dml:188m43?2900c<6n:188yg5ak3:187>50z&1<c<60<1C>4;4H3;3?led2900ek950;9j66c=831d=5o50;9~f6`c290>6=4?{%0;b?72m2B9585G2828 4312;?0e<;=:188m4342900e<;;:188m4322900c<6n:188yg5am3:1?7>50z&1<c<51=1C>4;4H3;3?led2900e<;7:188k4>f2900qo=if;290?6=8r.94k4>849K6<3<@;3;7dml:188mc1=831b>>k50;9l5=g=831vn9>?:186>5<7s-83j7?:e:J1=0=O:0:0(<;9:338m4352900e<;<:188m4332900e<;::188k4>f2900qo:?1;297?6=8r.94k4=959K6<3<@;3;7dml:188m43?2900c<6n:188yg27:3:187>50z&1<c<60<1C>4;4H3;3?led2900ek950;9j66c=831d=5o50;9~f164290>6=4?{%0;b?72m2B9585G2828 4312;;0e<;=:188m4342900e<;;:188m4322900c<6n:188yg27<3:1?7>50z&1<c<51=1C>4;4H3;3?led2900e<;7:188k4>f2900qo:?5;290?6=8r.94k4>849K6<3<@;3;7dml:188mc1=831b>>k50;9l5=g=831vn9>9:186>5<7s-83j7?:e:J1=0=O:0:0(<;9:0d8m4352900e<;<:188m4332900e<;::188k4>f2900qo:?7;297?6=8r.94k4=959K6<3<@;3;7dml:188m43?2900c<6n:188yg2703:187>50z&1<c<60<1C>4;4H3;3?led2900ek950;9j66c=831d=5o50;9~f16>290>6=4?{%0;b?72m2B9585G2828 43128l0e<;=:188m4342900e<;;:188m4322900c<6n:188yg27i3:1?7>50z&1<c<51=1C>4;4H3;3?led2900e<;7:188k4>f2900qo:?b;290?6=8r.94k4>849K6<3<@;3;7dml:188mc1=831b>>k50;9l5=g=831vn9>l:186>5<7s-83j7?:e:J1=0=O:0:0(<;9:0d8m4352900e<;<:188m4332900e<;::188k4>f2900qo:?d;297?6=8r.94k4=959K6<3<@;3;7dml:188m43?2900c<6n:188yg27m3:187>50z&1<c<60<1C>4;4H3;3?led2900ek950;9j66c=831d=5o50;9~f16a290>6=4?{%0;b?72m2B9585G2828 43128l0e<;=:188m4342900e<;;:188m4322900c<6n:188yg2683:1?7>50z&1<c<51=1C>4;4H3;3?led2900e<;7:188k4>f2900qo:>1;290?6=8r.94k4>849K6<3<@;3;7dml:188mc1=831b>>k50;9l5=g=831vn9?=:186>5<7s-83j7?:e:J1=0=O:0:0(<;9:0d8m4352900e<;<:188m4332900e<;::188k4>f2900qo:>3;297?6=8r.94k4=959K6<3<@;3;7dml:188m43?2900c<6n:188yg26<3:187>50z&1<c<60<1C>4;4H3;3?led2900ek950;9j66c=831d=5o50;9~f172290>6=4?{%0;b?72m2B9585G2828 43128l0e<;=:188m4342900e<;;:188m4322900c<6n:188yg26>3:1?7>50z&1<c<51=1C>4;4H3;3?led2900e<;7:188k4>f2900qo:>7;290?6=8r.94k4>849K6<3<@;3;7dml:188mc1=831b>>k50;9l5=g=831vn9?7:186>5<7s-83j7?:e:J1=0=O:0:0(<;9:0d8m4352900e<;<:188m4332900e<;::188k4>f2900qo:>9;290?6=8r.94k4>849K6<3<@;3;7dml:188mc1=831b>>k50;9l5=g=831vn9?n:187>5<7s-83j7?:d:J1=0=O:0:0(<;9:0a8m4352900e<;<:188m4332900c<6n:188yg26j3:1?7>50z&1<c<51=1C>4;4H3;3?led2900e<;7:188k4>f2900qo:>c;297?6=8r.94k4=959K6<3<@;3;7dml:188m43?2900c<6n:188yg26l3:187>50z&1<c<60<1C>4;4H3;3?led2900ek950;9j66c=831d=5o50;9~f17b29086=4?{%0;b?4><2B9585G2828mfe=831b=8650;9l5=g=831vn9?i:187>5<7s-83j7?75:J1=0=O:0:0enm50;9jb2<722c9?h4?::m2<d<722wi8?>50;194?6|,;2m6?7;;I0:1>N5191bon4?::k21=<722e:4l4?::a077=83>1<7>t$3:e>4>23A8296F=919jgf<722cm;7>5;h00a?6=3f;3m7>5;|`767<72:0;6=u+29d96<2<@;3>7E<60:k`g?6=3`;>47>5;n3;e?6=3th?>>4?:583>5}#:1l1=5;4H3;6?M4>82cho7>5;hd4>5<<a;9n6=44o0:b>5<<uk>987>53;294~"50o09595G2878L7?73`ih6=44i07;>5<<g82j6=44}c611?6=<3:1<v*=8g82<0=O:0?0D?7?;ha`>5<<ao=1<75f22g94?=h91k1<75rb505>5<4290;w)<7f;0:0>N51<1C>4>4iba94?=n9<21<75`19c94?=zj=8<6=4;:183!4?n3;396F=949K6<6<aji1<75ff683>>o5;l0;66a>8`83>>{e<;21<7=50;2x 7>a2;3?7E<65:J1=5=nkj0;66g>5983>>i60h0;66sm43;94?2=83:p(?6i:0:6?M4>=2B95=5fcb83>>oa?3:17d<<e;29?j7?i3:17pl;2`83>6<729q/>5h52868L7?23A82<6glc;29?l7203:17b?7a;29?xd3:k0;694?:1y'6=`=91?0D?7:;I0:4>odk3:17dh8:188m75b2900c<6n:188yg25k3:1?7>50z&1<c<51=1C>4;4H3;3?led2900e<;7:188k4>f2900qo:=d;290?6=8r.94k4>849K6<3<@;3;7dml:188mc1=831b>>k50;9l5=g=831vn9<j:180>5<7s-83j7<64:J1=0=O:0:0enm50;9j50>=831d=5o50;9~f14a290?6=4?{%0;b?7?=2B9585G2828mfe=831bj:4?::k17`<722e:4l4?::a066=8391<7>t$3:e>7?33A8296F=919jgf<722c:954?::m2<d<722wi8>?50;694?6|,;2m6<6:;I0:1>N5191bon4?::ke3?6=3`88i7>5;n3;e?6=3th???4?:283>5}#:1l1>4:4H3;6?M4>82cho7>5;h36<?6=3f;3m7>5;|`776<72=0;6=u+29d95=3<@;3>7E<60:k`g?6=3`l<6=44i31f>5<<g82j6=44}c600?6=;3:1<v*=8g81=1=O:0?0D?7?;ha`>5<<a8?36=44o0:b>5<<uk>897>54;294~"50o0:485G2878L7?73`ih6=44ig594?=n::o1<75`19c94?=zj=9=6=4<:183!4?n38286F=949K6<6<aji1<75f14:94?=h91k1<75rb514>5<3290;w)<7f;3;1>N51<1C>4>4iba94?=nn>0;66g=3d83>>i60h0;66sm42:94?5=83:p(?6i:3;7?M4>=2B95=5fcb83>>o6=10;66a>8`83>>{e<:31<7:50;2x 7>a282>7E<65:J1=5=nkj0;66gi7;29?l44m3:17b?7a;29?xd3;h0;6>4?:1y'6=`=:0>0D?7:;I0:4>odk3:17d?:8;29?j7?i3:17pl;3c83>1<729q/>5h51978L7?23A82<6glc;29?l`02900e?=j:188k4>f2900qo:<c;297?6=8r.94k4=959K6<3<@;3;7dml:188m43?2900c<6n:188yg24l3:187>50z&1<c<60<1C>4;4H3;3?led2900ek950;9j66c=831d=5o50;9~f15b29086=4?{%0;b?4><2B9585G2828mfe=831b=8650;9l5=g=831vn9=i:187>5<7s-83j7?75:J1=0=O:0:0enm50;9jb2<722c9?h4?::m2<d<722wi89>50;194?6|,;2m6?7;;I0:1>N5191bon4?::k21=<722e:4l4?::a017=83>1<7>t$3:e>4>23A8296F=919jgf<722cm;7>5;h00a?6=3f;3m7>5;|`707<72:0;6=u+29d96<2<@;3>7E<60:k`g?6=3`;>47>5;n3;e?6=3th?8>4?:583>5}#:1l1=5;4H3;6?M4>82cho7>5;hd4>5<<a;9n6=44o0:b>5<<uk>?87>53;294~"50o09595G2878L7?73`ih6=44i07;>5<<g82j6=44}c671?6=<3:1<v*=8g82<0=O:0?0D?7?;ha`>5<<ao=1<75f22g94?=h91k1<75rb565>5<4290;w)<7f;0:0>N51<1C>4>4iba94?=n9<21<75`19c94?=zj=><6=4;:183!4?n3;396F=949K6<6<aji1<75ff683>>o5;l0;66a>8`83>>{e<=21<7=50;2x 7>a2;3?7E<65:J1=5=nkj0;66g>5983>>i60h0;66sm45;94?2=83:p(?6i:0:6?M4>=2B95=5fcb83>>oa?3:17d<<e;29?j7?i3:17pl;4`83>6<729q/>5h52868L7?23A82<6glc;29?l7203:17b?7a;29?xd3<k0;694?:1y'6=`=91?0D?7:;I0:4>odk3:17dh8:188m75b2900c<6n:188yg23k3:1?7>50z&1<c<51=1C>4;4H3;3?led2900e<;7:188k4>f2900qo:;d;290?6=8r.94k4>849K6<3<@;3;7dml:188mc1=831b>>k50;9l5=g=831vn9:j:180>5<7s-83j7<64:J1=0=O:0:0enm50;9j50>=831d=5o50;9~f12a290?6=4?{%0;b?7?=2B9585G2828mfe=831bj:4?::k17`<722e:4l4?::a006=8391<7>t$3:e>7?33A8296F=919jgf<722c:954?::m2<d<722wi88?50;694?6|,;2m6<6:;I0:1>N5191bon4?::ke3?6=3`88i7>5;n3;e?6=3th?9?4?:283>5}#:1l1>4:4H3;6?M4>82cho7>5;h36<?6=3f;3m7>5;|`716<72=0;6=u+29d95=3<@;3>7E<60:k`g?6=3`l<6=44i31f>5<<g82j6=44}c660?6=;3:1<v*=8g81=1=O:0?0D?7?;ha`>5<<a8?36=44o0:b>5<<uk>>97>54;294~"50o0:485G2878L7?73`ih6=44ig594?=n::o1<75`19c94?=zj=?=6=4<:183!4?n38286F=949K6<6<aji1<75f14:94?=h91k1<75rb574>5<3290;w)<7f;3;1>N51<1C>4>4iba94?=nn>0;66g=3d83>>i60h0;66sm44:94?5=83:p(?6i:3;7?M4>=2B95=5fcb83>>o6=10;66a>8`83>>{e<<31<7:50;2x 7>a282>7E<65:J1=5=nkj0;66gi7;29?l44m3:17b?7a;29?xd3=h0;6>4?:1y'6=`=:0>0D?7:;I0:4>odk3:17d?:8;29?j7?i3:17pl;5c83>1<729q/>5h51978L7?23A82<6glc;29?l`02900e?=j:188k4>f2900qo::c;297?6=8r.94k4=959K6<3<@;3;7dml:188m43?2900c<6n:188yg22l3:187>50z&1<c<60<1C>4;4H3;3?led2900ek950;9j66c=831d=5o50;9~f13b29086=4?{%0;b?4><2B9585G2828mfe=831b=8650;9l5=g=831vn9;i:187>5<7s-83j7?75:J1=0=O:0:0enm50;9jb2<722c9?h4?::m2<d<722wi8;>50;194?6|,;2m6?7;;I0:1>N5191bon4?::k21=<722e:4l4?::a037=83>1<7>t$3:e>4>23A8296F=919jgf<722cm;7>5;h00a?6=3f;3m7>5;|`727<72=0;6=u+29d95=3<@;3>7E<60:k`g?6=3`l<6=44i31f>5<<g82j6=44}c657?6==3:1<v*=8g820`=O:0?0D?7?;%362?2<a131<75fc383>>ob;3:17d<<e;29?j44:3:17pl;6583>0<729q/>5h515f8L7?23A82<6*>5781?l>>2900en<50;9ja6<722c9?94?::m177<722wi8;;50;794?6|,;2m6<:k;I0:1>N5191/=8852:k;=?6=3`i96=44id194?=n::>1<75`22094?=zj=<=6=4::183!4?n3;?h6F=949K6<6<,8?=6?5f8883>>od:3:17dk<:188m7532900c?==:188yg21?3:197>50z&1<c<6<m1C>4;4H3;3?!72>380e5750;9jg7<722cn?7>5;h000?6=3f88>7>5;|`72=<72<0;6=u+29d951b<@;3>7E<60:&213<53`226=44ib094?=nm:0;66g=3583>>i5;;0;66sm47;94?3=83:p(?6i:06g?M4>=2B95=5+14496>o?13:17dm=:188m`5=831b>>:50;9l664=831vn98n:186>5<7s-83j7?;d:J1=0=O:0:0(<;9:39j<<<722ch>7>5;hg0>5<<a;9?6=44o311>5<<uk>=n7>55;294~"50o0:8i5G2878L7?73-;>:7<4i9;94?=nk;0;66gj3;29?l44<3:17b<<2;29?xd3>j0;684?:1y'6=`=9=n0D?7:;I0:4>"6=?097d66:188mf4=831bi>4?::k171<722e9??4?::a03b=83?1<7>t$3:e>42c3A8296F=919'500=:2c357>5;ha1>5<<al91<75f22694?=h::81<75rb54f>5<2290;w)<7f;37`>N51<1C>4>4$075>7=n000;66gl2;29?lc42900e?=;:188k7552900qo:9f;291?6=8r.94k4>4e9K6<3<@;3;7)?:6;08m=?=831bo?4?::kf7?6=3`8887>5;n006?6=3th?;=4?:483>5}#:1l1=9j4H3;6?M4>82.:9;4=;h::>5<<aj81<75fe283>>o5;=0;66a=3383>>{e<>;1<7;50;2x 7>a28>o7E<65:J1=5=#9<<1>6g79;29?le52900eh=50;9j662=831d>><50;9~f115290>6=4?{%0;b?73l2B9585G2828 4312;1b444?::k`6?6=3`o86=44i317>5<<g;996=44}c647?6==3:1<v*=8g820a=O:0?0D?7?;%362?4<a131<75fc383>>ob;3:17d<<4;29?j44:3:17pl;7583>0<729q/>5h515f8L7?23A82<6*>5781?l>>2900en<50;9ja6<722c9?94?::m177<722wi8:;50;794?6|,;2m6<:k;I0:1>N5191/=8852:k;=?6=3`i96=44id194?=n::>1<75`22094?=zj===6=4::183!4?n3;?h6F=949K6<6<,8?=6?5f8883>>od:3:17dk<:188m7532900c?==:188yg20?3:197>50z&1<c<6<m1C>4;4H3;3?!72>380e5750;9jg7<722cn?7>5;h000?6=3f88>7>5;|`73=<72<0;6=u+29d951b<@;3>7E<60:&213<53`226=44ib094?=nm:0;66g=3583>>i5;;0;66sm46;94?3=83:p(?6i:06g?M4>=2B95=5+14496>o?13:17dm=:188m`5=831b>>:50;9l664=831vn99n:186>5<7s-83j7?;d:J1=0=O:0:0(<;9:39j<<<722ch>7>5;hg0>5<<a;9?6=44o311>5<<uk><n7>55;294~"50o0:8i5G2878L7?73-;>:7<4i9;94?=nk;0;66gj3;29?l44<3:17b<<2;29?xd3?j0;684?:1y'6=`=9=n0D?7:;I0:4>"6=?097d66:188mf4=831bi>4?::k171<722e9??4?::a02b=83?1<7>t$3:e>42c3A8296F=919'500=:2c357>5;ha1>5<<al91<75f22694?=h::81<75rb55f>5<2290;w)<7f;37`>N51<1C>4>4$075>7=n000;66gl2;29?lc42900e?=;:188k7552900qo:8f;291?6=8r.94k4>4e9K6<3<@;3;7)?:6;08m=?=831bo?4?::kf7?6=3`8887>5;n006?6=3th?4=4?:483>5}#:1l1=9j4H3;6?M4>82.:9;4=;h::>5<<aj81<75fe283>>o5;=0;66a=3383>>{e<1;1<7;50;2x 7>a28>o7E<65:J1=5=#9<<1>6g79;29?le52900eh=50;9j662=831d>><50;9~f1>5290>6=4?{%0;b?73l2B9585G2828 4312;1b444?::k`6?6=3`o86=44i317>5<<g;996=44}c6;7?6==3:1<v*=8g820a=O:0?0D?7?;%362?4<a131<75fc383>>ob;3:17d<<4;29?j44:3:17pl;8583>0<729q/>5h515f8L7?23A82<6*>5781?l>>2900en<50;9ja6<722c9?94?::m177<722wi85;50;794?6|,;2m6<:k;I0:1>N5191/=8852:k;=?6=3`i96=44id194?=n::>1<75`22094?=zj=2=6=4::183!4?n3;?h6F=949K6<6<,8?=6?5f8883>>od:3:17dk<:188m7532900c?==:188yg2??3:197>50z&1<c<6<m1C>4;4H3;3?!72>380e5750;9jg7<722cn?7>5;h000?6=3f88>7>5;|`7<=<72<0;6=u+29d951b<@;3>7E<60:&213<53`226=44ib094?=nm:0;66g=3583>>i5;;0;66sm49;94?3=83:p(?6i:06g?M4>=2B95=5+14496>o?13:17dm=:188m`5=831b>>:50;9l664=831vn96n:186>5<7s-83j7?;d:J1=0=O:0:0(<;9:39j<<<722ch>7>5;hg0>5<<a;9?6=44o311>5<<uk>3n7>55;294~"50o0:8i5G2878L7?73-;>:7<4i9;94?=nk;0;66gj3;29?l44<3:17b<<2;29?xd30j0;684?:1y'6=`=9=n0D?7:;I0:4>"6=?097d66:188mf4=831bi>4?::k171<722e9??4?::a0=b=83?1<7>t$3:e>42c3A8296F=919'500=:2c357>5;ha1>5<<al91<75f22694?=h::81<75rb5:f>5<2290;w)<7f;37`>N51<1C>4>4$075>7=n000;66gl2;29?lc42900e?=;:188k7552900qo:7f;291?6=8r.94k4>4e9K6<3<@;3;7)?:6;08m=?=831bo?4?::kf7?6=3`8887>5;n006?6=3th?5=4?:483>5}#:1l1=9j4H3;6?M4>82.:9;4=;h::>5<<aj81<75fe283>>o5;=0;66a=3383>>{e<0;1<7;50;2x 7>a28>o7E<65:J1=5=#9<<1>6g79;29?le52900eh=50;9j662=831d>><50;9~f1?5290>6=4?{%0;b?73l2B9585G2828 4312;1b444?::k`6?6=3`o86=44i317>5<<g;996=44}c6:7?6==3:1<v*=8g820a=O:0?0D?7?;%362?4<a131<75fc383>>ob;3:17d<<4;29?j44:3:17pl;9583>0<729q/>5h515f8L7?23A82<6*>5781?l>>2900en<50;9ja6<722c9?94?::m177<722wi84;50;794?6|,;2m6<:k;I0:1>N5191/=8852:k;=?6=3`i96=44id194?=n::>1<75`22094?=zj=3=6=4::183!4?n3;?h6F=949K6<6<,8?=6?5f8883>>od:3:17dk<:188m7532900c?==:188yg2>?3:197>50z&1<c<6<m1C>4;4H3;3?!72>380e5750;9jg7<722cn?7>5;h000?6=3f88>7>5;|`7==<72<0;6=u+29d951b<@;3>7E<60:&213<53`226=44ib094?=nm:0;66g=3583>>i5;;0;66sm48;94?3=83:p(?6i:06g?M4>=2B95=5+14496>o?13:17dm=:188m`5=831b>>:50;9l664=831vn97n:186>5<7s-83j7?;d:J1=0=O:0:0(<;9:39j<<<722ch>7>5;hg0>5<<a;9?6=44o311>5<<uk>2n7>55;294~"50o0:8i5G2878L7?73-;>:7<4i9;94?=nk;0;66gj3;29?l44<3:17b<<2;29?xd31j0;684?:1y'6=`=9=n0D?7:;I0:4>"6=?097d66:188mf4=831bi>4?::k171<722e9??4?::a0<b=83?1<7>t$3:e>42c3A8296F=919'500=:2c357>5;ha1>5<<al91<75f22694?=h::81<75rb5;f>5<2290;w)<7f;37`>N51<1C>4>4$075>7=n000;66gl2;29?lc42900e?=;:188k7552900qo:6f;291?6=8r.94k4>4e9K6<3<@;3;7)?:6;08m=?=831bo?4?::kf7?6=3`8887>5;n006?6=3th?m=4?:483>5}#:1l1=9j4H3;6?M4>82.:9;4=;h::>5<<aj81<75fe283>>o5;=0;66a=3383>>{e<h;1<7;50;2x 7>a28>o7E<65:J1=5=#9<<1>6g79;29?le52900eh=50;9j662=831d>><50;9~f1g5290>6=4?{%0;b?73l2B9585G2828 4312;1b444?::k`6?6=3`o86=44i317>5<<g;996=44}c6b7?6==3:1<v*=8g820a=O:0?0D?7?;%362?4<a131<75fc383>>ob;3:17d<<4;29?j44:3:17pl;a583>0<729q/>5h515f8L7?23A82<6*>5781?l>>2900en<50;9ja6<722c9?94?::m177<722wi8l;50;794?6|,;2m6<:k;I0:1>N5191/=8852:k;=?6=3`i96=44id194?=n::>1<75`22094?=zj=k=6=4::183!4?n3;?h6F=949K6<6<,8?=6?5f8883>>od:3:17dk<:188m7532900c?==:188yg2f?3:197>50z&1<c<6<m1C>4;4H3;3?!72>380e5750;9jg7<722cn?7>5;h000?6=3f88>7>5;|`7e=<72<0;6=u+29d951b<@;3>7E<60:&213<53`226=44ib094?=nm:0;66g=3583>>i5;;0;66sm4`;94?3=83:p(?6i:06g?M4>=2B95=5+14496>o?13:17dm=:188m`5=831b>>:50;9l664=831vn9on:186>5<7s-83j7?;d:J1=0=O:0:0(<;9:39j<<<722ch>7>5;hg0>5<<a;9?6=44o311>5<<uk>jn7>55;294~"50o0:8i5G2878L7?73-;>:7<4i9;94?=nk;0;66gj3;29?l44<3:17b<<2;29?xd3ij0;684?:1y'6=`=9=n0D?7:;I0:4>"6=?097d66:188mf4=831bi>4?::k171<722e9??4?::a0db=83?1<7>t$3:e>42c3A8296F=919'500=:2c357>5;ha1>5<<al91<75f22694?=h::81<75rb5cf>5<2290;w)<7f;37`>N51<1C>4>4$075>7=n000;66gl2;29?lc42900e?=;:188k7552900qo:nf;291?6=8r.94k4>4e9K6<3<@;3;7)?:6;08m=?=831bo?4?::kf7?6=3`8887>5;n006?6=3th?n=4?:483>5}#:1l1=9j4H3;6?M4>82.:9;4=;h::>5<<aj81<75fe283>>o5;=0;66a=3383>>{e<k;1<7;50;2x 7>a28>o7E<65:J1=5=#9<<1>6g79;29?le52900eh=50;9j662=831d>><50;9~f1d5290>6=4?{%0;b?73l2B9585G2828 4312;1b444?::k`6?6=3`o86=44i317>5<<g;996=44}c6a7?6==3:1<v*=8g820a=O:0?0D?7?;%362?4<a131<75fc383>>ob;3:17d<<4;29?j44:3:17pl;b583>0<729q/>5h515f8L7?23A82<6*>5781?l>>2900en<50;9ja6<722c9?94?::m177<722wi8o;50;794?6|,;2m6<:k;I0:1>N5191/=8852:k;=?6=3`i96=44id194?=n::>1<75`22094?=zj=h=6=4::183!4?n3;?h6F=949K6<6<,8?=6?5f8883>>od:3:17dk<:188m7532900c?==:188yg2e?3:197>50z&1<c<6<m1C>4;4H3;3?!72>380e5750;9jg7<722cn?7>5;h000?6=3f88>7>5;|`7f=<72<0;6=u+29d951b<@;3>7E<60:&213<53`226=44ib094?=nm:0;66g=3583>>i5;;0;66sm4c;94?3=83:p(?6i:06g?M4>=2B95=5+14496>o?13:17dm=:188m`5=831b>>:50;9l664=831vn9ln:186>5<7s-83j7?;d:J1=0=O:0:0(<;9:39j<<<722ch>7>5;hg0>5<<a;9?6=44o311>5<<uk>in7>55;294~"50o0:8i5G2878L7?73-;>:7<4i9;94?=nk;0;66gj3;29?l44<3:17b<<2;29?xd3jj0;684?:1y'6=`=9=n0D?7:;I0:4>"6=?097d66:188mf4=831bi>4?::k171<722e9??4?::a0gb=83?1<7>t$3:e>42c3A8296F=919'500=:2c357>5;ha1>5<<al91<75f22694?=h::81<75rb5`f>5<2290;w)<7f;37`>N51<1C>4>4$075>7=n000;66gl2;29?lc42900e?=;:188k7552900qo:mf;291?6=8r.94k4>4e9K6<3<@;3;7)?:6;08m=?=831bo?4?::kf7?6=3`8887>5;n006?6=3th?o=4?:483>5}#:1l1=9j4H3;6?M4>82.:9;4=;h::>5<<aj81<75fe283>>o5;=0;66a=3383>>{e<j;1<7;50;2x 7>a28>o7E<65:J1=5=#9<<1>6g79;29?le52900eh=50;9j662=831d>><50;9~f1e5290>6=4?{%0;b?73l2B9585G2828 4312;1b444?::k`6?6=3`o86=44i317>5<<g;996=44}c6`7?6==3:1<v*=8g820a=O:0?0D?7?;%362?4<a131<75fc383>>ob;3:17d<<4;29?j44:3:17pl;c583>0<729q/>5h515f8L7?23A82<6*>5781?l>>2900en<50;9ja6<722c9?94?::m177<722wi8n;50;794?6|,;2m6<:k;I0:1>N5191/=8852:k;=?6=3`i96=44id194?=n::>1<75`22094?=zj=i=6=4::183!4?n3;?h6F=949K6<6<,8?=6?5f8883>>od:3:17dk<:188m7532900c?==:188yg2d?3:197>50z&1<c<6<m1C>4;4H3;3?!72>380e5750;9jg7<722cn?7>5;h000?6=3f88>7>5;|`7g=<72<0;6=u+29d951b<@;3>7E<60:&213<53`226=44ib094?=nm:0;66g=3583>>i5;;0;66sm4b;94?3=83:p(?6i:06g?M4>=2B95=5+14496>o?13:17dm=:188m`5=831b>>:50;9l664=831vn9mn:186>5<7s-83j7?;d:J1=0=O:0:0(<;9:39j<<<722ch>7>5;hg0>5<<a;9?6=44o311>5<<uk>hn7>55;294~"50o0:8i5G2878L7?73-;>:7<4i9;94?=nk;0;66gj3;29?l44<3:17b<<2;29?xd3kj0;684?:1y'6=`=9=n0D?7:;I0:4>"6=?097d66:188mf4=831bi>4?::k171<722e9??4?::a0fb=83?1<7>t$3:e>42c3A8296F=919'500=:2c357>5;ha1>5<<al91<75f22694?=h::81<75rb5af>5<2290;w)<7f;37`>N51<1C>4>4$075>7=n000;66gl2;29?lc42900e?=;:188k7552900qo:lf;291?6=8r.94k4>4e9K6<3<@;3;7)?:6;08m=?=831bo?4?::kf7?6=3`8887>5;n006?6=3th?h=4?:483>5}#:1l1=9j4H3;6?M4>82.:9;4=;h::>5<<aj81<75fe283>>o5;=0;66a=3383>>{e<m;1<7;50;2x 7>a28>o7E<65:J1=5=#9<<1>6g79;29?le52900eh=50;9j662=831d>><50;9~f1b5290>6=4?{%0;b?73l2B9585G2828 4312;1b444?::k`6?6=3`o86=44i317>5<<g;996=44}c6g7?6==3:1<v*=8g820a=O:0?0D?7?;%362?4<a131<75fc383>>ob;3:17d<<4;29?j44:3:17pl;d583>0<729q/>5h515f8L7?23A82<6*>5781?l>>2900en<50;9ja6<722c9?94?::m177<722wi8i;50;794?6|,;2m6<:k;I0:1>N5191/=8852:k;=?6=3`i96=44id194?=n::>1<75`22094?=zj=n=6=4::183!4?n3;?h6F=949K6<6<,8?=6?5f8883>>od:3:17dk<:188m7532900c?==:188yg2c?3:197>50z&1<c<6<m1C>4;4H3;3?!72>380e5750;9jg7<722cn?7>5;h000?6=3f88>7>5;|`7`=<72:0;6=u+29d950e<@;3>7E<60:&213<5>2c:9?4?::k216<722e:4l4?::a0a?=8391<7>t$3:e>43d3A8296F=919'500=:?1b=8<50;9j505=831d=5o50;9~f1bf29086=4?{%0;b?72k2B9585G2828 4312;<0e<;=:188m4342900c<6n:188yg2cj3:1?7>50z&1<c<6=j1C>4;4H3;3?!72>38=7d?:2;29?l72;3:17b?7a;29?xd3lj0;6>4?:1y'6=`=9<i0D?7:;I0:4>"6=?09:6g>5383>>o6=:0;66a>8`83>>{e<mn1<7=50;2x 7>a28?h7E<65:J1=5=#9<<1>;5f14094?=n9<91<75`19c94?=zj=nn6=4<:183!4?n3;>o6F=949K6<6<,8?=6?84i071>5<<a8?86=44o0:b>5<<uk>oj7>53;294~"50o0:9n5G2878L7?73-;>:7<9;h366?6=3`;>?7>5;n3;e?6=3th?i=4?:283>5}#:1l1=8m4H3;6?M4>82.:9;4=6:k217<722c:9>4?::m2<d<722wi8h?50;194?6|,;2m6<;l;I0:1>N5191/=885279j504=831b=8=50;9l5=g=831vn9k=:180>5<7s-83j7?:c:J1=0=O:0:0(<;9:348m4352900e<;<:188k4>f2900qo:j3;297?6=8r.94k4>5b9K6<3<@;3;7)?:6;05?l72:3:17d?:3;29?j7?i3:17pl;e583>6<729q/>5h514a8L7?23A82<6*>57812>o6=;0;66g>5283>>i60h0;66sm4d794?5=83:p(?6i:07`?M4>=2B95=5+144963=n9<81<75f14194?=h91k1<75rb5g5>5<4290;w)<7f;36g>N51<1C>4>4$075>70<a8?96=44i070>5<<g82j6=44}c6f3?6=;3:1<v*=8g821f=O:0?0D?7?;%362?413`;>>7>5;h367?6=3f;3m7>5;|`7a=<72:0;6=u+29d950e<@;3>7E<60:&213<5>2c:9?4?::k216<722e:4l4?::a0`?=8391<7>t$3:e>43d3A8296F=919'500=:?1b=8<50;9j505=831d=5o50;9~f1cf29086=4?{%0;b?72k2B9585G2828 4312;<0e<;=:188m4342900c<6n:188yg2bj3:1?7>50z&1<c<6=j1C>4;4H3;3?!72>38=7d?:2;29?l72;3:17b?7a;29?xd3mj0;6>4?:1y'6=`=9<i0D?7:;I0:4>"6=?09:6g>5383>>o6=:0;66a>8`83>>{e<ln1<7=50;2x 7>a28?h7E<65:J1=5=#9<<1>;5f14094?=n9<91<75`19c94?=zj=on6=4<:183!4?n3;>o6F=949K6<6<,8?=6?84i071>5<<a8?86=44o0:b>5<<uk>nj7>53;294~"50o0:9n5G2878L7?73-;>:7<9;h366?6=3`;>?7>5;n3;e?6=3th?j=4?:283>5}#:1l1=8m4H3;6?M4>82.:9;4=6:k217<722c:9>4?::m2<d<722wi8k?50;194?6|,;2m6<;l;I0:1>N5191/=885279j504=831b=8=50;9l5=g=831vn9h=:180>5<7s-83j7?:c:J1=0=O:0:0(<;9:348m4352900e<;<:188k4>f2900qo:i3;297?6=8r.94k4>5b9K6<3<@;3;7)?:6;05?l72:3:17d?:3;29?j7?i3:17pl;f583>6<729q/>5h514a8L7?23A82<6*>57812>o6=;0;66g>5283>>i60h0;66sm4g794?5=83:p(?6i:07`?M4>=2B95=5+144963=n9<81<75f14194?=h91k1<75rb5d5>5<4290;w)<7f;36g>N51<1C>4>4$075>70<a8?96=44i070>5<<g82j6=44}c6e3?6=;3:1<v*=8g821f=O:0?0D?7?;%362?413`;>>7>5;h367?6=3f;3m7>5;|`7b=<72:0;6=u+29d950e<@;3>7E<60:&213<5>2c:9?4?::k216<722e:4l4?::a0c?=8391<7>t$3:e>43d3A8296F=919'500=:?1b=8<50;9j505=831d=5o50;9~f1`f29086=4?{%0;b?72k2B9585G2828 4312;<0e<;=:188m4342900c<6n:188yg2aj3:1?7>50z&1<c<6=j1C>4;4H3;3?!72>38=7d?:2;29?l72;3:17b?7a;29?xd3nj0;6>4?:1y'6=`=9<i0D?7:;I0:4>"6=?0:<6g>5383>>o6=:0;66a>8`83>>{e<on1<7=50;2x 7>a28?h7E<65:J1=5=#9<<1==5f14094?=n9<91<75`19c94?=zj=ln6=4<:183!4?n3;>o6F=949K6<6<,8?=6<>4i071>5<<a8?86=44o0:b>5<<uk>mj7>53;294~"50o0:9n5G2878L7?73-;>:7??;h366?6=3`;>?7>5;n3;e?6=3th><=4?:283>5}#:1l1=8m4H3;6?M4>82.:9;4>0:k217<722c:9>4?::m2<d<722wi9=?50;194?6|,;2m6<;l;I0:1>N5191/=885119j504=831b=8=50;9l5=g=831vn8>=:180>5<7s-83j7?:c:J1=0=O:0:0(<;9:028m4352900e<;<:188k4>f2900qo;?3;297?6=8r.94k4>5b9K6<3<@;3;7)?:6;33?l72:3:17d?:3;29?j7?i3:17pl:0583>6<729q/>5h514a8L7?23A82<6*>57824>o6=;0;66g>5283>>i60h0;66sm51794?5=83:p(?6i:07`?M4>=2B95=5+144955=n9<81<75f14194?=h91k1<75rb425>5<4290;w)<7f;36g>N51<1C>4>4$075>46<a8?96=44i070>5<<g82j6=44}c733?6=;3:1<v*=8g821f=O:0?0D?7?;%362?773`;>>7>5;h367?6=3f;3m7>5;|`64=<72:0;6=u+29d950e<@;3>7E<60:&213<682c:9?4?::k216<722e:4l4?::a15?=8391<7>t$3:e>43d3A8296F=919'500=991b=8<50;9j505=831d=5o50;9~f06f29086=4?{%0;b?72k2B9585G2828 43128:0e<;=:188m4342900c<6n:188yg37j3:1?7>50z&1<c<6=j1C>4;4H3;3?!72>3;;7d?:2;29?l72;3:17b?7a;29?xd28j0;6>4?:1y'6=`=9<i0D?7:;I0:4>"6=?0:<6g>5383>>o6=:0;66a>8`83>>{e=9n1<7=50;2x 7>a28?h7E<65:J1=5=#9<<1==5f14094?=n9<91<75`19c94?=zj<:n6=4<:183!4?n3;>o6F=949K6<6<,8?=6<>4i071>5<<a8?86=44o0:b>5<<uk?;j7>53;294~"50o0:9n5G2878L7?73-;>:7??;h366?6=3`;>?7>5;n3;e?6=3th>==4?:283>5}#:1l1=8m4H3;6?M4>82.:9;4>0:k217<722c:9>4?::m2<d<722wi9<?50;194?6|,;2m6<;l;I0:1>N5191/=885119j504=831b=8=50;9l5=g=831vn8?=:180>5<7s-83j7?:c:J1=0=O:0:0(<;9:028m4352900e<;<:188k4>f2900qo;>3;297?6=8r.94k4>5b9K6<3<@;3;7)?:6;33?l72:3:17d?:3;29?j7?i3:17pl:1583>6<729q/>5h514a8L7?23A82<6*>57824>o6=;0;66g>5283>>i60h0;66sm50794?5=83:p(?6i:07`?M4>=2B95=5+144955=n9<81<75f14194?=h91k1<75rb435>5<4290;w)<7f;36g>N51<1C>4>4$075>46<a8?96=44i070>5<<g82j6=44}c723?6=;3:1<v*=8g821f=O:0?0D?7?;%362?773`;>>7>5;h367?6=3f;3m7>5;|`65=<72:0;6=u+29d950e<@;3>7E<60:&213<682c:9?4?::k216<722e:4l4?::a14?=8391<7>t$3:e>43d3A8296F=919'500=991b=8<50;9j505=831d=5o50;9~f07f29086=4?{%0;b?72k2B9585G2828 43128:0e<;=:188m4342900c<6n:188yg36j3:1?7>50z&1<c<6=j1C>4;4H3;3?!72>3;;7d?:2;29?l72;3:17b?7a;29?xd29j0;6>4?:1y'6=`=9<i0D?7:;I0:4>"6=?0:<6g>5383>>o6=:0;66a>8`83>>{e=8n1<7=50;2x 7>a28?h7E<65:J1=5=#9<<1==5f14094?=n9<91<75`19c94?=zj<;n6=4<:183!4?n3;>o6F=949K6<6<,8?=6<>4i071>5<<a8?86=44o0:b>5<<uk?:j7>55;294~"50o0:9h5G2878L7?73-;>:7:9;h366?6=3`;>?7>5;h360?6=3`;>97>5;n3;e?6=3th>>=4?:283>5}#:1l1=8m4H3;6?M4>82.:9;4;;h366?6=3`;>?7>5;n3;e?6=3th>><4?:483>5}#:1l1=8k4H3;6?M4>82.:9;4;6:k217<722c:9>4?::k211<722c:984?::m2<d<722wi9?<50;794?6|,;2m6<;j;I0:1>N5191/=885479j504=831b=8=50;9j502=831b=8;50;9l5=g=831vn8<<:186>5<7s-83j7?:e:J1=0=O:0:0(<;9:548m4352900e<;<:188m4332900e<;::188k4>f2900qo;=4;291?6=8r.94k4>5d9K6<3<@;3;7)?:6;65?l72:3:17d?:3;29?l72<3:17d?:5;29?j7?i3:17pl:2483>0<729q/>5h514g8L7?23A82<6*>57872>o6=;0;66g>5283>>o6==0;66g>5483>>i60h0;66sm53494?3=83:p(?6i:07f?M4>=2B95=5+144903=n9<81<75f14194?=n9<>1<75f14794?=h91k1<75rb404>5<2290;w)<7f;36a>N51<1C>4>4$075>10<a8?96=44i070>5<<a8??6=44i076>5<<g82j6=44}c71<?6=;3:1<v*=8g821f=O:0?0D?7?;%362?773`;>>7>5;h367?6=3f;3m7>5;|`66<<72<0;6=u+29d950c<@;3>7E<60:&213<3>2c:9?4?::k216<722c:994?::k210<722e:4l4?::a17g=83?1<7>t$3:e>43b3A8296F=919'500=<?1b=8<50;9j505=831b=8:50;9j503=831d=5o50;9~f04e290>6=4?{%0;b?72m2B9585G2828 4312=<0e<;=:188m4342900e<;;:188m4322900c<6n:188yg35k3:197>50z&1<c<6=l1C>4;4H3;3?!72>3>=7d?:2;29?l72;3:17d?:4;29?l72=3:17b?7a;29?xd2:m0;684?:1y'6=`=9<o0D?7:;I0:4>"6=?0?:6g>5383>>o6=:0;66g>5583>>o6=<0;66a>8`83>>{e=;o1<7;50;2x 7>a28?n7E<65:J1=5=#9<<1>h5f14094?=n9<91<75f14694?=n9<?1<75`19c94?=zj<8m6=4::183!4?n3;>i6F=949K6<6<,8?=6?k4i071>5<<a8?86=44i077>5<<a8?>6=44o0:b>5<<uk?8<7>55;294~"50o0:9h5G2878L7?73-;>:7<j;h366?6=3`;>?7>5;h360?6=3`;>97>5;n3;e?6=3th>?<4?:483>5}#:1l1=8k4H3;6?M4>82.:9;4;6:k217<722c:9>4?::k211<722c:984?::m2<d<722wi9><50;794?6|,;2m6<;j;I0:1>N5191/=885479j504=831b=8=50;9j502=831b=8;50;9l5=g=831vn8=<:186>5<7s-83j7?:e:J1=0=O:0:0(<;9:548m4352900e<;<:188m4332900e<;::188k4>f2900qo;<4;291?6=8r.94k4>5d9K6<3<@;3;7)?:6;65?l72:3:17d?:3;29?l72<3:17d?:5;29?j7?i3:17pl:3483>0<729q/>5h514g8L7?23A82<6*>57872>o6=;0;66g>5283>>o6==0;66g>5483>>i60h0;66sm52494?3=83:p(?6i:07f?M4>=2B95=5+144903=n9<81<75f14194?=n9<>1<75f14794?=h91k1<75rb414>5<2290;w)<7f;36a>N51<1C>4>4$075>10<a8?96=44i070>5<<a8??6=44i076>5<<g82j6=44}c70<?6==3:1<v*=8g821`=O:0?0D?7?;%362?213`;>>7>5;h367?6=3`;>87>5;h361?6=3f;3m7>5;|`67<<72<0;6=u+29d950c<@;3>7E<60:&213<3>2c:9?4?::k216<722c:994?::k210<722e:4l4?::a16g=83?1<7>t$3:e>43b3A8296F=919'500=<?1b=8<50;9j505=831b=8:50;9j503=831d=5o50;9~f05e290>6=4?{%0;b?72m2B9585G2828 4312=<0e<;=:188m4342900e<;;:188m4322900c<6n:188yg34k3:197>50z&1<c<6=l1C>4;4H3;3?!72>3>=7d?:2;29?l72;3:17d?:4;29?l72=3:17b?7a;29?xd2;m0;684?:1y'6=`=9<o0D?7:;I0:4>"6=?0?:6g>5383>>o6=:0;66g>5583>>o6=<0;66a>8`83>>{e=:o1<7;50;2x 7>a28?n7E<65:J1=5=#9<<18;5f14094?=n9<91<75f14694?=n9<?1<75`19c94?=zj<9m6=4::183!4?n3;>i6F=949K6<6<,8?=6984i071>5<<a8?86=44i077>5<<a8?>6=44o0:b>5<<uk??<7>55;294~"50o0:9h5G2878L7?73-;>:7:9;h366?6=3`;>?7>5;h360?6=3`;>97>5;n3;e?6=3th>8<4?:483>5}#:1l1=8k4H3;6?M4>82.:9;4;6:k217<722c:9>4?::k211<722c:984?::m2<d<722wi99<50;794?6|,;2m6<;j;I0:1>N5191/=885479j504=831b=8=50;9j502=831b=8;50;9l5=g=831vn8:<:186>5<7s-83j7?:e:J1=0=O:0:0(<;9:b38m4352900e<;<:188m4332900e<;::188k4>f2900qo;;4;297?6=8r.94k4>5b9K6<3<@;3;7)?:6;33?l72:3:17d?:3;29?j7?i3:17pl:4483>6<729q/>5h514a8L7?23A82<6*>57873>o6=;0;66g>5283>>i60h0;66sm55494?3=83:p(?6i:06g?M4>=2B95=5+14496>o?13:17dm=:188m`5=831b>>:50;9l664=831vn8:8:186>5<7s-83j7?;d:J1=0=O:0:0(<;9:39j<<<722ch>7>5;hg0>5<<a;9?6=44o311>5<<uk??47>55;294~"50o0:8h5G2878L7?73-;>:7:4i9;94?=nk;0;66gj3;29?l44m3:17b<<2;29?xd2<00;684?:1y'6=`=9=n0D?7:;I0:4>"6=?097d66:188mf4=831bi>4?::k171<722e9??4?::a11g=83?1<7>t$3:e>42c3A8296F=919'500=:2c357>5;ha1>5<<al91<75f22694?=h::81<75rb46a>5<2290;w)<7f;37a>N51<1C>4>4$075>1=n000;66gl2;29?lc42900e?=j:188k7552900qo;;c;291?6=8r.94k4>4e9K6<3<@;3;7)?:6;08m=?=831bo?4?::kf7?6=3`8887>5;n006?6=3th>8i4?:483>5}#:1l1=9j4H3;6?M4>82.:9;4=;h::>5<<aj81<75fe283>>o5;=0;66a=3383>>{e==o1<7<50;2x 7>a28?<7E<65:J1=5=n9<;1<75`19c94?=zj<>m6=4=:183!4?n3;>;6F=949K6<6<a8?:6=44o0:b>5<<uk?><7>52;294~"50o0:9:5G2878L7?73`;>=7>5;n3;e?6=3th>9<4?:383>5}#:1l1=894H3;6?M4>82c:9<4?::m2<d<722wi98<50;;94?6|,;2m6?6m;I0:1>N5191/=8853:k1<?6=3`8j6=44i3a94?=n:m0;66gl2;29?lec2900eh=50;9l664=831d>>=50;9~f034290?6=4?{%0;b?73k2B9585G2828 4312;1b444?::k`6?6=3`o86=44o311>5<<uk?>87>59;294~"50o094o5G2878L7?73-;>:7=4i3:94?=n:h0;66g=c;29?l4c2900en<50;9jga<722cn?7>5;n006?6=3f88?7>5;|`610<72=0;6=u+29d951e<@;3>7E<60:&213<53`226=44ib094?=nm:0;66a=3383>>{e=<<1<7;50;2x 7>a28>o7E<65:J1=5=n000;66gl2;29?lc42900e?=;:188k7552900qo;:7;291?6=8r.94k4>4e9K6<3<@;3;7d66:188mf4=831bi>4?::k171<722e9??4?::a10>=83?1<7>t$3:e>42c3A8296F=919j<<<722ch>7>5;hg0>5<<a;9?6=44o311>5<<uk?>57>55;294~"50o0:8i5G2878L7?73`226=44ib094?=nm:0;66g=3583>>i5;;0;66sm54c94?3=83:p(?6i:06g?M4>=2B95=5f8883>>od:3:17dk<:188m7532900c?==:188yg32j3:1?7>50z&1<c<6=j1C>4;4H3;3?!72>38=7d?:2;29?l72;3:17b?7a;29?xd2=j0;684?:1y'6=`=9=n0D?7:;I0:4>"6=?097d66:188mf4=831bi>4?::k171<722e9??4?::a10b=8391<7>t$3:e>43d3A8296F=919'500=:?1b=8<50;9j505=831d=5o50;9~f03b290>6=4?{%0;b?73l2B9585G2828 4312;1b444?::k`6?6=3`o86=44i317>5<<g;996=44}r7a`?6=99qU?i522e09555<5;n96<>>;<0g6?`a348o>7hj;<0g6?`c348o>7hl;<0g6?`e348o>7hn;<0g6?758279h?4>1g9>6a4=98o01?j=:03g?84c:3;:o63=d3825g=::m81=<74}r7aa?6=:rT8m63=d38254=z{<hm6=4={_1:?84c:3;;:6s|5b294?4|V:201?j=:g;8yv3d93:1>vP<6:?1`5<6881v8m=:181[52348o<7hi;|q6g6<72;qU?9522e29b`=z{<i?6=4={_10?84c83lo7p}:c483>7}Y;;16>i>5fb9~w0e12909wS=>;<0g4?`e3ty>o:4?:3y]75=::m:1jl5rs4a;>5<5sW>>70<k0;314>{t=j31<7<t^56897b728;m7p}:c`83>7}Y<:16>i>510g8yv3dj3:1>vP;2:?1`5<69m1v8ml:181[26348o<7?>c:p1fb=838pR9>4=3f3>47e3ty>oh4?:3y]7c=::m:1=<74}r7`b?6=:rT8i63=d18254=z{<n;6=4={_1a?84c83;;:6s|5e394?4|V;l01?j?:g;8yv3c:3:1?vP:8:?05g<69016???510;8yv3c;3:1?vP:5:?05g<69816???51038yv3c<3:1?vP:4:?05g<68?16???51148yv3c=3:1?vP:3:?05g<a1278><4i9:p1a0=839pR8?4=3f2>466348m;7??1:p1a1=839pR8>4=3f2>c`<5;l<6kh4}r7g<?6=;rT?j63=d08ea>;5n>0mi6s|5e;94?5|V=o01?j>:gf897`02on0q~;ka;297~X3l279h<4ic:?1b2<ak2wx9il50;1xZ1e<5;n:6kl4=3d4>cd<uz?oo7>53z\7f>;5l80mm63=f68ee>{t=mn1<7=t^72897b6288;70<i7;314>{t=mo1<7=t^4d897b628;m70<i7;32b>{t=ml1<7=t^4g897b628;n70<i7;32a>{t=l:1<7=t^4f897b628;o70<i7;32`>{t=l;1<7=t^4a897b628;h70<i7;32g>{t=l81<7=t^4`897b628;i70<i7;32f>{t=l91<7=t^4c897b628;270<i7;32=>{t=l>1<7=t^4;897b628;:70<i7;325>{t=l?1<7=t^44897b628:=70<i7;332>{t=l<1<7=t^5c897b62o301?h8:g;8yv3b?3:15nuQce9>6f`=km16>nk5ce9>6fb=km16>nm5ce9>6a6=km16>i?5ce9>6a4=km16>k95ce9>74d=km16???5ce9>761=km16?>75ce9>76d=km16?585ce9>7=>=km16?ll5ce9>7de=0016?lk5889>7db=00168;=5889>032=00168565889>0=1=00168585889>0=3=001685:5889>0=5=001685<5889>0=7=001685>5889>02`=00168:k5889>02b=00168:m5889>02d=00168:o5889>02?=00168:65889>021=00168:85889>023=00168::5889>025=00168:<5889>027=00168:>5889>03`=00168;k5889>03b=00168;m5889>03d=00168;o5889>03?=00168;65889>031=00168;85889>033=00168lm5889>0dd=00168lo5889>0d?=00168l65889>0d1=00168l85889>0d3=00168l:5889>0d5=00168l<5889>0d7=00168l>5889>0<`=001684k5889>0<b=001684m5889>0<d=001684o5889>0<?=00168465889>0<1=00168485889>0<3=001684:5889>0<5=001684<5889>0<7=001684>5889>0=`=001685k5889>0=b=001685m5889>0=d=001685o5889>0=?=00168o:5889>0g5=00168o<5889>0g7=00168o>5889>0d`=00168lk5889>0db=00168i95889>0a0=00168i;5889>0a2=00168i=5889>0a4=00168i?5889>0a6=00168nh5889>0fc=00168nj5889>0fe=00168nl5889>0fg=00168n75889>0f>=00168n95889>0f0=00168n;5889>0f2=00168n=5889>0f4=00168n?5889>0f6=00168oh5889>0gc=00168oj5889>0ge=00168ol5889>0gg=00168o75889>0g>=00168o95889>0g0=00168o;5889>110=00169995889>11>=00169975889>11g=001699l5889>11e=001699j5889>104=km1698=5889>102=km1698;5889>100=00169895889>10>=00169875889>10g=001698m5889>10c=001v8k7:18ba~Xd:279ok4l3:?1gc<d<279ok4l8:?1gc<d1279ok4la:?1g`<d;279oh4l4:?1g`<d0279oh4l9:?1g`<di279oi4l3:?1ga<d<279oi4l5:?1ga<d0279oi4l9:?1ga<di279on4l3:?1gf<d<279on4l5:?1gf<d0279on4l9:?1gf<di279h=4l2:?1`4<d:279h?4l2:?1b2<d:278=o4l2:?064<d:278?:4l2:?07<<d:278?o4l2:?0<3<d:278454l2:?0eg<d:278mn4l2:?0e`<d:278mi4l2:?726<d:27?:94l2:?7<=<d:27?4:4l2:?7<3<d:27?484l2:?7<1<d:27?4>4l2:?7<7<d:27?4<4l2:?7<5<d:27?;k4l2:?73`<d:27?;i4l2:?73f<d:27?;o4l2:?73d<d:27?;44l2:?73=<d:27?;:4l2:?733<d:27?;84l2:?731<d:27?;>4l2:?737<d:27?;<4l2:?735<d:27?:k4l2:?72`<d:27?:i4l2:?72f<d:27?:o4l2:?72d<d:27?:44l2:?72=<d:27?::4l2:?723<d:27?:84l2:?7ef<d:27?mo4l2:?7ed<d:27?m44l2:?7e=<d:27?m:4l2:?7e3<d:27?m84l2:?7e1<d:27?m>4l2:?7e7<d:27?m<4l2:?7e5<d:27?5k4l2:?7=`<d:27?5i4l2:?7=f<d:27?5o4l2:?7=d<d:27?544l2:?7==<d:27?5:4l2:?7=3<d:27?584l2:?7=1<d:27?5>4l2:?7=7<d:27?5<4l2:?7=5<d:27?4k4l2:?7<`<d:27?4i4l2:?7<f<d:27?4o4l2:?7<d<d:27?444l2:?7f1<d:27?n>4l2:?7f7<d:27?n<4l2:?7f5<d:27?mk4l2:?7e`<d:27?mi4l2:?7`2<d:27?h;4l2:?7`0<d:27?h94l2:?7`6<d:27?h?4l2:?7`4<d:27?h=4l2:?7gc<d:27?oh4l2:?7ga<d:27?on4l2:?7gg<d:27?ol4l2:?7g<<d:27?o54l2:?7g2<d:27?o;4l2:?7g0<d:27?o94l2:?7g6<d:27?o?4l2:?7g4<d:27?o=4l2:?7fc<d:27?nh4l2:?7fa<d:27?nn4l2:?7fg<d:27?nl4l2:?7f<<d:27?n54l2:?7f2<d:27?n;4l2:?7f0<d:27>8;4l2:?602<d:27>854l2:?60<<d:27>8l4l2:?60g<d:27>8n4l2:?60a<d:27>9?4l2:?616<d:27>994l2:?610<d:27>9;4l2:?612<d:27>954l2:?61<<d:27>9l4l2:?61f<d:27>9h4l2:p1`?=83kjwS<76:?1gc<5;<16>nh5224897ea2;9j70<lf;00f>;5ko09?n522bg9663<5;in6?=9;<0`a?44i279oh4=3c9>6fc=::i01?mk:316?84dl388:63=ce8172=::jn1>>o4=3ag>75e348hh7<<c:?1gf<5;<16>nm5224897ed2;9<70<lc;00e>;5kj09?o522ba966e<5;n;6?69;<0g5?4?>279h?4=879>6c1=:1<01>?m:3:5?8559383:63<3681<3=:;:31>584=21a>7>13493:7<76:?0<=<50?16?ll5294896gd2;9?70=ne;000>;4im09?952471966c<5=<?6?=;;<6;<?44<27?4:4=359>0=0=::>0196::317?82?<388863;828171=:<181>>:4=5:2>75334>3<7<<4:?73c<5;=168:k52268911c2;9?70:8c;000>;3?k09?95246c9662<5==26?=;;<64<?44<27?;:4=359>020=::>0199::317?820<388863;728171=:<>81>>:4=552>75334><<7<<4:?72c<5;=168;k52268910c2;9?70:9c;000>;3>k09?95247c9662<5=<26?=;;<65<?44<27?::4=359>030=::>0198::317?82fk388863;ac8171=:<hk1>>:4=5c:>75334>j47<<4:?7e2<5;=168l85226891g22;9?70:n4;000>;3i:09?9524`09662<5=k:6?=;;<6b4?44<27?5k4=359>0<c=::>0197k:317?82>k388863;9c8171=:<0k1>>:4=5;:>75334>247<<4:?7=2<5;=168485226891?22;9?70:64;000>;31:09?9524809662<5=3:6?=;;<6:4?44<27?4k4=359>0=c=::>0196k:317?82?k388863;8c8171=:<1k1>>:4=5::>75334>i87<<4:?7f6<5;=168o<5226891d62;9?70:m0;000>;3io09?9524`g9662<5=ko6?=;;<6g3?44<27?h;4=359>0a3=::>019j;:317?82c;388863;d38171=:<m;1>>:4=5f3>75334>hj7<<4:?7g`<5;=168nj5226891ed2;9?70:lb;000>;3kh09?9524b;9662<5=i36?=;;<6`3?44<27?o;4=359>0f3=::>019m;:317?82d;388863;c38171=:<j;1>>:4=5a3>75334>ij7<<4:?7f`<5;=168oj5226891dd2;9?70:mb;000>;3jh09?9524c;9662<5=h36?=;;<6a3?44<27?n;4=359>0g3=::>018:9:317?833?388863:49817`=:==31>>:4=46b>75334??n7<<e:?60f<5;=1699j5226890312;9?70;:7;000>;2=109?95254;9662<5<?j6?=;;<76g?44<27>9h4=359~w0cf2909wS67;<1ba?c43ty>io4?:5y]6=7<5:h96<;=;<652?44:27?h54>539~w0cd290?wS<8f:?0f0<6=;168;95220891b>28?97p}:ee83>1}Y:>o01>l7:071?8210388>63;d`8217=z{<on6=4;{_04`>;4jk0:9?5247;9664<5=ni6<;=;|q6ac<72=qU>:m4=2`f>43534>=m7<<2:?7`f<6=;1v8h?:187[40j278o<4>539>03d=::8019jk:071?xu2n80;69uQ26c896e328?970:9c;006>;3ll0:9?5rs4d1>5<3sW8<563<c68217=:<?n1>><4=5fe>4353ty>j>4?:5y]62><5:ij6<;=;<65a?44:27?i=4>539~w0`3290?wS<87:?0ga<6=;168;h5220891c628?97p}:f483>1}Y:>?01>j?:071?8208388>63;e38217=z{<l=6=4;{_040>;4l:0:9?524639664<5=o86<;=;|q6b2<72=qU>:=4=2f5>43534><>7<<2:?7a1<6=;1v8h7:187[40:278h44>539>025=::8019k::071?xu2n00;69uQ263896bd28?970:84;006>;3m?0:9?5rs4db>5<3sW8<<63<dg8217=:<>?1>><4=5g4>4353ty>jo4?:5y]63`<5:o96<;=;<642?44:27?i54>539~w0`d290?wS<9e:?0a0<6=;168:95220891c>28?97p}:fe83>1}Y:?n01>k7:071?8200388>63;e`8217=z{<ln6=4;{_05g>;4mk0:9?5246;9664<5=oi6<;=;|q6bc<72=qU>;o4=2gf>43534><m7<<2:?7af<6=;1v;>?:187[411278j<4>539>02d=::8019kk:071?xu1880;69uQ27:896`328?970:8c;006>;3ml0:9?5rs721>5<3sW8=;63<f68217=:<>n1>><4=5ge>4353ty=<>4?:5y]630<5:lj6<;=;<64a?44:27?j=4>539~w363290?wS<95:?0ba<6=;168:h5220891`628?97p}90483>1}Y:?>019>?:071?82?8388>63;f38217=z{?:=6=4;{_057>;38:0:9?524939664<5=l86<;=;|q542<72=qU>;<4=5:1>75534>m87?:2:?743<6=:1v;>7:187[41927?4>4=339>0c3=9<8019>6:070?xu1800;69uQ24d891>32;9970:i6;366>;38j0:9>5rs72b>5<3sW8>i63;848177=:<o=1=8<4=52e>4343ty=<o4?:5y]60b<5=2=6?==;<6e<?72:27?=?4>529~w36d290?wS<:c:?7<2<5;;168k751408917228?87p}90e83>1}Y:<h01967:311?82ai3;>>63;198216=z{?:n6=4={_06e>;3j<09??5rs72e>5<5sW8>563;b78177=z{?;;6=4={_06<>;3j>09??5rs732>5<5sW8>;63;b98177=z{?;96=4={_062>;3j009??5rs730>5<5sW8>863;b`8177=z{?;?6=4={_067>;3jk09??5rs736>5<5sW8>>63;bb8177=z{?;=6=4={_065>;3jm09??5rs734>5<5sW8><63;bd8177=z{?;36=4={_07b>;3jo09??5rs73:>5<5sW8?i63;c18177=z{?;j6=4={_07`>;3k809??5rs73a>5<5sW8?o63;c38177=z{?;h6=4={_07f>;3k:09??5rs73g>5<5sW8?563;c58177=z{?;n6=4={_07<>;3k<09??5rs73e>5<5sW8?;63;c78177=z{?8;6=4={_072>;3k>09??5rs702>5<5sW8?963;c98177=z{?896=4={_070>;3k009??5rs700>5<5sW8??63;c`8177=z{?8?6=4={_076>;3kk09??5rs706>5<5sW8?=63;cb8177=z{?8=6=4={_074>;3km09??5rs704>5<5sW83963;cd8177=z{?836=4={_0;0>;3ko09??5rs70:>5<5sW83?63;d18177=z{?8j6=4={_0;6>;3l809??5rs70a>5<5sW8<:63;d38177=z{?8h6=4={_05f>;3l:09??5rs70g>5<5sW8=<63;d58177=z{?8n6=4={_061>;3l<09??5rs70e>5<5sW8?m63;d78177=z{?9;6=4={_00b>;3l>09??5rs712>5<as48hm7?68:?1gc<60j16>nk519a897eb282n70<ld;3;g>;5km0:4h522bf95=`<5;ih6<6l;<0`g?7?m279on4>8g9>104=:11698<52`9>102=:11698:52`9>100=m:1v;==:182=~;4ij09??525569504<5<>>6<;<;<71a?72=27>>k4>549>166=9<?018=>:077?834:3;>863:328211=:=:>1=8:4=416>43334?8:7?:4:?672<6==169>651468905>28??70;<a;360>;2;k0:995252a9502<5<9o6<;;;<70a?72<27>?k4>559>116=9<>018:>:077?833:3;>863:428211=z{?986=4=az?0ea<5;;168km5141891`c28?870:ie;367>;3no0:9>525129505<5<::6<;<;<736?72;27><>4>529>152=9<9018>::070?837>3;>?63:068216=:=921=8=4=42:>43434?;m7?:3:?64g<6=:169=m51418906c28?870;?e;367>;2<=0:9>525579504<5<>n6<;>;<705?72=27>??4>549>165=9<?018=;:076?834=3;>963:378210=:=:=1=8;4=41;>43234?857?:5:?67d<6=<169>l51478905d28?>70;<d;361>;2;l0:985252d9503<5<>;6<;:;<775?72=27>8?4>549>115=9<?0q~8<4;296~;4;k0:>?5250d9504<uz<897>52z?07g<6:o169??51408yv04>3:1>v3<3c827d=:=;81=8<4}r403?6=:r78?o4>439>175=9<80q~8<8;296~;4;k0:89525369504<uz<857>52z?07g<6<<169?;51408yv04i3:1>v3<3c8203=:=;<1=8<4}r40f?6=:r78?o4>469>171=9<80q~8<c;296~;4;k0:855253;9504<uz<8h7>52z?07g<6<0169?o51408yv04m3:1>v3<3c8266=:=;h1=8<4}r40b?6=:r78?o4>259>17e=9<80q~8;0;296~;4;k0:>85253f9504<uz<?=7>52z?07g<6:?169?k51408yv03:3:1>v3<3c8262=:=;l1=8<4}r477?6=:r78?o4>299>166=9<80q~8;4;296~;4;k0:>l525239504<uz<?97>52z?0<=<6:;169><51408yv03>3:1>v3<89826c=:=:91=8<4}r473?6=:r78454>3`9>162=9<80q~8;8;296~;4010:8?525279504<uz<?57>52z?0<=<6<=169>851408yv03i3:1>v3<898200=:=:=1=8<4}r47f?6=:r78454>479>16>=9<80q~8;c;296~;4010:8:5252;9504<uz<?h7>52z?0<=<6<1169>o51408yv03m3:1>v3<89820<=:=:h1=8<4}r47b?6=:r78454>229>16e=9<80q~8:0;296~;4010:>95252f9504<uz<>=7>52z?0<=<6:<169>k51408yv02:3:1>v3<898263=:=:l1=8<4}r467?6=:r78454>269>116=9<80q~8:4;296~;4010:>5525539504<uz<>97>52z?0<=<6:h1699<51408yv02>3:1>v3<ac8267=:==91=8=4}r463?6=:r7?n94j3:?0eg<6:o1v;;7:18182e;3o870=nb;30e>{t><31<7<t=5`1>`5<5:ki6<:=;|q51d<72;q68o?5e29>7dd=9=>0q~8:b;296~;3j90n?63<ac8200=z{??h6=4={<6bb?c4349jn7?;6:p20b=838p19oj:d1896ge28><7p}95d83>7}:<hn1i>523``951><uz<>j7>52z?1gf<61h16?ll5f89~w3072909w0<lc;3b1>;4ik0:<;5rs742>5<5s48ho7?m0:?0eg<6981v;8=:18184dk3;in63<ac825<=z{?<86=4={<0`g?7d=278mo4>1c9~w3032909w0<lc;3`2>;4ik0:=n5rs746>5<5s48ho7?l7:?0eg<69m1v;89:18184dk3;h463<ac825`=z{?<<6=4={<0`g?7d1278mo4>1g9~w30?2909w0<lc;3`e>;4ik0:>=5rs74:>5<5s48ho7?6b:?0eg<ai2wx:;o50;0x97ed283h70=nb;da?xu1>k0;6?u22ba95<b<5:ki6km4}r45g?6=:r79on4>9d9>7dd=nm1v;8k:18184dk3;2j63<ac8ea>{t>?o1<7<t=3a`>4g7349jn7hi;|q52c<72;q6>nm51`3896ge28::7p}97183>7}::ji1=l<4=2ca>4643ty=;<4?:3y>6fe=9h901>om:027?xu1?;0;6?u22ba95d2<5:ki6<>:;|q536<72;q6>nm51`4896ge28:<7p}97583>7}::ji1=l94=2ca>46?3ty=;84?:3y>6fe=9h201>om:02:?xu1??0;6?u22ba95d?<5:ki6<>n;|q532<72;q6>nm51`c896ge28:i7p}97983>7}::ji1=ll4=2ca>46d3ty=;44?:3y>6fe=9hi01>om:02g?xu1?h0;6?u22ba95db<5:ki6<>j;|q53g<72;q6>nm51`g896ge28:m7p}97b83>7}::ji1=lh4=2ca>4773ty=;i4?:3y>6fe=9k;01>om:031?xu1?l0;6?u22ba95g4<5:ki6<?<;|q53c<72;q6>nm51c1896ge28;?7p}98183>7}::ji1=o:4=2ca>4723ty=4<4?:3y>6fe=9k?01>om:035?xu10;0;6?u22ba95g0<5:ki6<?7;|q5<6<72;q6>nk51ba897ec28lo7p}98583>7}::jo1=i94=3ag>76?3ty=484?:3y>6fc=9l801?mk:330?xu10?0;6?u22bg95`b<5;io6??j;|q5<2<72;q6>nk51g5897ec2;837p}98983>7}::jo1=k64=3ag>74>3ty=444?:3y>6fc=9o301?mk:30b?xu10h0;6?u22bg95cg<5;io6?<m;|q5<g<72;q6>nk51g`897ec2;8h7p}98b83>7}::jo1=km4=3ag>74c3ty=4i4?:3y>6fc=9jn01?mk:0df?xu10l0;6?u22bg95fc<5;io6<hi;|q5<c<72;q6>nk51bd897ec2;:;7p}99183>7}::jo1=i>4=3ag>7663ty=5<4?:3y>6fc=9m;01?mk:321?xu11;0;6?u22bg95a4<5;io6?><;|q5=6<72;q6>nk51e1897ec2;:?7p}99583>7}::jo1=i:4=3ag>7623ty=584?:3y>6fc=9m?01?mk:325?xu11?0;6?u22bg95a0<5;io6?>8;|q5=2<72;q6>nk51e:897ec2;:27p}99983>7}::jo1=i74=3ag>76f3ty=544?:3y>6fc=9mk01?mk:32a?xu11h0;6?u22bg95ad<5;io6?>l;|q5=g<72;q6>nk51ea897ec2;:o7p}99b83>7}::jo1=ij4=3ag>76b3ty=5i4?:3y>6fc=9mo01?mk:32e?xu11l0;6?u22bg95a`<5;io6???;|q5=c<72;q6>nk51d2897ec2;;:7p}9a183>7}::jo1=h?4=3ag>7753ty=m<4?:3y>6fc=9l901?mk:337?xu1i;0;6?u22bg95`2<5;io6??:;|q5e6<72;q6>nk51d7897ec2;;=7p}9a583>7}::jo1=h84=3ag>7703ty=m84?:3y>6fc=9l=01?mk:33;?xu1i?0;6?u22bg95`><5;io6??6;|q5e2<72;q6>nk51d;897ec2;;j7p}9a983>7}::jo1=ho4=3ag>77e3ty=m44?:3y>6fc=9lh01?mk:33`?xu1ih0;6?u22bg95`e<5;io6??k;|q5eg<72;q6>nk51dg897ec2;;m7p}9ab83>7}::jo1=hh4=3ag>7473ty=mi4?:3y>6fc=9o:01?mk:302?xu1il0;6?u22bg95c7<5;io6?<=;|q5ec<72;q6>nk51g0897ec2;887p}9b183>7}::jo1=k=4=3ag>7433ty=n<4?:3y>6fc=9o>01?mk:306?xu1j;0;6?u22bg95c0<5;io6?<8;|q5f6<72:q6>nk518689650288970=76;d:?xu1j=0;6?u22bg95cb<5;ih6<ml;|q5f0<72;q6>nk521:897ed28n<7p}9b783>7}::jo1><=4=3a`>4c53ty=n:4?:3y>6fc=:8o01?ml:0gg?xu1j10;6?u22bg967><5;ih6<h8;|q5f<<72;q6>nk523;897ed28l37p}9b`83>7}::jo1>?o4=3a`>4`>3ty=no4?:3y>6fc=:;h01?ml:0db?xu1jj0;6?u22bg967e<5;ih6<hm;|q5fa<72;q6>nk523f897ed28lh7p}9bd83>7}::jo1=kk4=3a`>4ec3ty=nk4?:3y>6fc=9ol01?ml:0af?xu1k90;6?u22bg9656<5;ih6<mi;|q5g4<72;q6>nk5213897ed28n;7p}9c383>7}::jo1>=<4=3a`>4b63ty=o>4?:3y>6fc=:9901?ml:0f1?xu1k=0;6?u22bg9652<5;ih6<j<;|q5g0<72;q6>nk5217897ed28n?7p}9c783>7}::jo1>=84=3a`>4b23ty=o:4?:3y>6fc=:9=01?ml:0f5?xu1k10;6?u22bg965?<5;ih6<j7;|q5g<<72;q6>nk521c897ed28n27p}9c`83>7}::jo1>=l4=3a`>4bf3ty=oo4?:3y>6fc=:9i01?ml:0fa?xu1kj0;6?u22bg965b<5;ih6<jl;|q5ga<72;q6>nk521g897ed28no7p}9cd83>7}::jo1>=h4=3a`>4bb3ty=ok4?:3y>6fc=:8:01?ml:0fe?xu1l90;6?u22bg9647<5;ih6<k?;|q5`4<72;q6>nk5200897ed28o:7p}9d383>7}::jo1><:4=3a`>4c43ty=h>4?:3y>6fc=:8?01?ml:0g7?xu1l=0;6?u22bg9640<5;ih6<k:;|q5`0<72;q6>nk5205897ed28o=7p}9d783>7}::jo1><64=3a`>4c03ty=h:4?:3y>6fc=:8301?ml:0g;?xu1l10;6?u22bg964g<5;ih6<k6;|q5`<<72;q6>nk520`897ed28oj7p}9d`83>7}::jo1><m4=3a`>4ce3ty=ho4?:3y>6fc=:8n01?ml:0g`?xu1lj0;6?u22bg964`<5;ih6<kj;|q5`a<72;q6>nk5232897ed28om7p}9dd83>7}::jo1>??4=3a`>4`73ty=hk4?:3y>6fc=:;801?ml:0d2?xu1m90;6?u22bg9675<5;ih6<h=;|q5a4<72;q6>nk5236897ed28l87p}9e383>7}::jo1>?;4=3a`>4`33ty=i>4?:3y>6fc=:;=01?ml:0d5?xu1m=0;6?u22bg95d4<5;ih65o4}r4f1?6=:r79oh4>a29>6fe=1<1v;k9:18184dm3;j863=cb8b4>{t>l=1<7<t=3af>4g1348ho7om;|q5a=<72;q6>nk51`5897ed2k?0q~8j9;296~;5kl0:m5522ba9f3=z{?oj6=4={<0`a?7f1279on4m7:p2`d=838p1?mj:0cb?84dk3h37p}9eb83>7}::jo1=ll4=3a`>g?<uz<nh7>52z?1g`<6ij16>nm5b`9~w3cb2909w0<le;3b`>;5kj03n6s|6dd94?4|5;in6<oj;<0`g?>d3ty=j=4?:3y>6fc=9hl01?ml:9f8yv0a93:1>v3=cd82f4=::ji14h5rs7d1>5<5s48hi7?m2:?1gf<?n2wx:k=50;0x97eb28h870<lc;;3?xu1n=0;6?u22bg95g2<5;ih64?4}r4e1?6=:r79oh4>b49>6fe=1;1v;h9:18184dm3;i:63=cb8:7>{t>o=1<7<t=3af>4d0348ho77;;|q5b=<72;q6>nk51c:897ed20<0q~8i9;296~;5kl0:n4522ba9=2=z{?lj6=4={<0`a?7ei279on468:p2cd=838p1?mj:0``?84dk3327p}9fb83>7}::jo1=oj4=3a`><g<uz<mh7>52z?1g`<6jl16>nm59c9~w3`b2909w0<le;3ab>;5kj02o6s|6gd94?4|5;in6<m?;<0`g??c3ty<<=4?:3y>6fc=9j;01?ml:8g8yv1793:1>v3=cd82g7=::ji15k5rs621>5<6;r79oh4>c59>6fe=i816>nm5a39>6fe=i:16>nm5a59>6fe=i<16>nm5a79>6fe=i>16>nm5a99>6fe=i016>nm5a`9>6fe=ij16>nm5ae9>6fe=il16>nm5ag9>6fe=j916>nm5b09>6fe=j;16>nm5b59~w2642909w0<le;3:e>;4010m56s|71694?4|5;in6<o:;<1;<?77>2wx;=;50;0x97eb28h;70=78;325>{t?9<1<7<t=3af>4de349347?>9:p351=838p1?mj:0a6?85?03;:n6s|71:94?4|5;in6<m9;<1;<?76k2wx;=750;0x97eb28i<70=78;32`>{t?9k1<7<t=3af>4e?349347?>e:p35d=838p1?mj:0a:?85?03;:j6s|71a94?4|5;in6<mn;<1;<?7582wx;=j50;0x97eb283i70=78;db?xu08l0;6?u22bg95<e<5:236kl4}r53b?6=:r79oh4>9e9>7=>=nj1v:??:18184dm3;2i63<898e`>{t?8;1<7<t=3af>4?a349347hj;|q457<72;q6>nk51`2896>?2ol0q~9>3;296~;5kl0:m<5239:9557<uz=:87>52z?1g`<0<279on4;a:p343=838p1?mj:6g897ed2<<0q~9>6;296~;5kl0<j63=cb86=>{t?8=1<7<t=3af>=6<5;ih68o4}r52<?6=:r79oh471:?1gf<2j2wx;<750;0x97eb21801?ml:4a8yv16i3:1>v3=cd8;7>;5kj0>h6s|70`94?4|5;in65:4=3a`>0c<uz=:o7>52z?1g`<?=279on4:f:p34b=838p1?mj:94897ed2?:0q~9>e;296~;5kl0<963=cb87f>{t?8l1<7<t=3af>20<5;ih69m4}r514?6=:r79oh487:?1gf<3l2wx;??50;0x97eb2>201?ml:5g8yv15:3:1>v3=cd84=>;5kj0?j6s|73194?4|5;in6:o4=3a`>06<uz=987>52z?1g`<0j279on4:1:p373=838p1?mj:6f897ed2<90q~9=6;296~;5kj0:59523949574<uz=9;7>52z?1gc<6nm16>nj51ba8yv1503:1>v3=cg814==::jn1=i94}r51=?6=:r79ok4=129>6fb=9l80q~9=a;296~;5ko09=h522bf95`b<uz=9n7>52z?1gc<5:116>nj51g58yv15k3:1>v3=cg816<=::jn1=k64}r51`?6=:r79ok4=2`9>6fb=9o30q~9=e;296~;5ko09>o522bf95cg<uz=9j7>52z?1gc<5:j16>nj51g`8yv1483:1>v3=cg816a=::jn1=km4}r505?6=:r79ok4>fd9>6fb=9jn0q~9<2;296~;5ko0:jk522bf95fc<uz=8?7>52z?1gc<58916>nj51bd8yv14<3:1>v3=cg8144=::jn1=i>4}r501?6=:r79ok4=039>6fb=9m;0q~9<6;296~;5ko09<>522bf95a4<uz=8;7>52z?1gc<58=16>nj51e18yv1403:1>v3=cg8140=::jn1=i:4}r50=?6=:r79ok4=079>6fb=9m?0q~9<a;296~;5ko09<:522bf95a0<uz=8n7>52z?1gc<58016>nj51e:8yv14k3:1>v3=cg814d=::jn1=i74}r50`?6=:r79ok4=0c9>6fb=9mk0q~9<e;296~;5ko09<n522bf95ad<uz=8j7>52z?1gc<58m16>nj51ea8yv1383:1>v3=cg814`=::jn1=ij4}r575?6=:r79ok4=0g9>6fb=9mo0q~9;2;296~;5ko09==522bf95a`<uz=??7>52z?1gc<59816>nj51d28yv13<3:1>v3=cg8157=::jn1=h?4}r571?6=:r79ok4=159>6fb=9l90q~9;6;296~;5ko09=8522bf95`2<uz=?;7>52z?1gc<59?16>nj51d78yv1303:1>v3=cg8152=::jn1=h84}r57=?6=:r79ok4=199>6fb=9l=0q~9;a;296~;5ko09=4522bf95`><uz=?n7>52z?1gc<59h16>nj51d;8yv13k3:1>v3=cg815g=::jn1=ho4}r57`?6=:r79ok4=1b9>6fb=9lh0q~9;e;296~;5ko09=i522bf95`e<uz=?j7>52z?1gc<59o16>nj51dg8yv1283:1>v3=cg8165=::jn1=hh4}r565?6=:r79ok4=209>6fb=9o:0q~9:2;296~;5ko09>?522bf95c7<uz=>?7>52z?1gc<5::16>nj51g08yv12<3:1>v3=cg8161=::jn1=k=4}r561?6=:r79ok4=249>6fb=9o>0q~9:6;296~;5ko09>:522bf95c0<uz=>;7>52z?1gc<6i;16>nj58`9~w23?2909w0<lf;3b7>;5km0296s|74;94?4|5;im6<o;;<0``?g73ty<9l4?:3y>6f`=9h<01?mk:``8yv12j3:1>v3=cg82e2=::jn1n85rs67`>5<5s48hj7?n8:?1ga<e>2wx;8j50;0x97ea28k270<ld;`4?xu0=l0;6?u22bd95dg<5;io6o64}r56b?6=:r79ok4>ac9>6fb=j01v:8?:18184dn3;jo63=ce8ae>{t??;1<7<t=3ae>4gc348hh76m;|q427<72;q6>nh51`g897ec21i0q~993;296~;5ko0:mk522bf9<a=z{><?6=4={<0`b?7e9279oi47e:p333=838p1?mi:0`1?84dl32m7p}86783>7}::jl1=o=4=3ag><6<uz==;7>52z?1gc<6j=16>nj5909~w20?2909w0<lf;3a1>;5km02>6s|77;94?4|5;im6<l9;<0``??43ty<:l4?:3y>6f`=9k=01?mk:868yv11j3:1>v3=cg82f==::jn15;5rs64`>5<5s48hj7?m9:?1ga<>?2wx;;j50;0x97ea28hj70<ld;;;?xu0>l0;6?u22bd95ge<5;io6474}r55b?6=:r79ok4>be9>6fb=1h1v:9?:18184dn3;ii63=ce8:f>{t?>;1<7<t=3ae>4da348hh77l;|q437<72;q6>nh51b2897ec20n0q~983;296~;5ko0:o<522bf9=`=z{>=?6=4={<0`b?7d:279oi46f:p323=83;8w0<lf;3`0>;5km0j=63=ce8b6>;5km0j?63=ce8b0>;5km0j963=ce8b2>;5km0j;63=ce8b<>;5km0j563=ce8be>;5km0jo63=ce8b`>;5km0ji63=ce8bb>;5km0i<63=ce8a5>;5km0i>63=ce8a0>{t?><1<7<t=3ae>4?f3498n7h6;|q432<72;q6>nh51`78965e28:=7p}87983>7}::jl1=o>4=21a>4763ty<;44?:3y>6f`=9kh01>=m:03:?xu0?h0;6?u22bd95f3<5:9i6<?m;|q43g<72;q6>nh51b48965e28;h7p}87b83>7}::jl1=n94=21a>47c3ty<;i4?:3y>6f`=9j201>=m:03f?xu0?l0;6?u22bd95f?<5:9i6<?i;|q43c<72;q6>nh51bc8965e288;7p}88183>7}::jl1=4l4=21a>cg<uz=3=7>52z?1gc<61j16?>l5fc9~w2>52909w0<lf;3:`>;4;k0mo6s|79194?4|5;im6<7j;<10f?`c3ty<494?:3y>6f`=90l01>=m:gg8yv1?=3:1>v3=cg82e5=:;:h1jk5rs6:5>5<5s48hj7?n1:?07g<6881v:68:18184dn3=?70<ld;6b?xu0010;6?u22bd93`=::jn19;5rs6::>5<5s48hj79i;<0``?3>3ty<4l4?:3y>6f`=0916>nj55`9~w2>e2909w0<lf;:2?84dl3?i7p}88b83>7}::jl14?522bf91f=z{>2o6=4={<0`b?>4348hh7;k;|q4<`<72;q6>nh5859>6fb==l1v:6i:18184dn32>70<ld;7e?xu0190;6?u22bd9<3=::jn1:=5rs6;2>5<5s48hj79:;<0``?2e3ty<5?4?:3y>6f`=??16>nj54b9~w2?42909w0<lf;54?84dl3>o7p}89583>7}::jl1;5522bf90`=z{>3>6=4={<0`b?1>348hh7:i;|q4=3<72;q6>nh57`9>6fb==91v:78:18184dn3=i70<ld;72?xu0110;6?u22bd93a=::jn19>5rs6;:>5<4s48hh7?64:?072<a1278?44>239~w2?f2909w0<le;6b?84c:3;9>6s|78`94?4|5;in6884=3f1>44a3ty<5n4?:3y>6fc==016>i<512c8yv1>l3:1>v3=cd86e>;5l;0:8?5rs6;f>5<5s48hi7;m;<0g6?73<2wx;4h50;0x97eb2<i01?j=:066?xu0i90;6?u22bg91a=::m81=984}r5b5?6=:r79oh4:e:?1`7<6<>1v:o=:18184dm3?m70<k2;37<>{t?h91<7<t=3af>36<5;n96<:6;|q4e1<72;q6>nk54c9>6a4=9;90q~9n5;296~;5kl0?o63=d38261=z{>k=6=4={<0`a?2c348o>7?=5:p3d1=838p1?mj:5g897b5288=7p}8a983>7}::jo18k522e09571<uz=j57>52z?1g`<28279h?4>299~w2gf2909w0<le;72?84c:3;9m6s|7``94?4|5;in68=4=3f1>44d3ty<mn4?:3y>6f`=<h16>i>51308yv1fl3:1>v3=cg862>;5l90:>k5rs6cf>5<5s48hj7;6;<0g4?74i2wx;lh50;0x97ea2<k01?j?:061?xu0j90;6?u22bd91g=::m:1=9:4}r5a5?6=:r79ok4:c:?1`5<6<<1v:l=:18184dn3?o70<k0;372>{t?k91<7<t=3ae>0c<5;n;6<:8;|q4f1<72;q6>nh55g9>6a6=9=20q~9m5;296~;5ko0=<63=d1820<=z{>h=6=4={<0`b?2e348o<7?=3:p3g1=838p1?mi:5a897b7288?7p}8b983>7}::jl18i522e29573<uz=i57>52z?1gc<3m279h=4>279~w2df2909w0<lf;6e?84c83;9;6s|7c`94?4|5;im68>4=3f3>44?3ty<nn4?:3y>6f`==816>i>513c8yv1el3:1>v3=cg867>;5l90:>n5rs6`f>5<5s48ho7<i;<115?75:2wx;oh50;0x97ed2:h01><>:00e?xu0k90;6?u22ba97`=:;;;1=>o4}r5`5?6=:r79on4<f:?064<6<;1v:m=:18e84dk3>;70<lc;62?84dk3>970<lc;60?84dk3>?70<lc;66?84dk39;70<lc;12?84dk39970<lc;10?84dk39?70<lc;16?84dk39=70<lc;1;?85593;?86s|7b194?4|5;io6?h4=23a>4453ty<o94?:3y>6fb=;k16?<l513d8yv1d=3:1>v3=ce80a>;49k0:?l5rs6a5>5<5s48hh7=i;<12f?73:2wx;n950;dx97ec2=:01?mk:53897ec2=801?mk:51897ec2=>01?mk:57897ec2::01?mk:23897ec2:801?mk:21897ec2:>01?mk:27897ec2:<01?mk:2:8967e28>?7p}8c983>7}::jo1>k522g59574<uz=h57>52z?1g`<4j279j:4>2g9~w2ef2909w0<le;1f?84a?3;8m6s|7b`94?4|5;in6>h4=3d4>4253ty<on4?:3y>6fc=<916>k951568yv1dl3:1>v3=cd875>;5n>0:885rs6af>5<5s48hi7:=;<0e3?73>2wx;nh50;0x97eb2=901?h8:064?xu0l90;6?u22bg901=::o=1=964}r5g5?6=:r79oh4;5:?1b2<6<01v:j=:18184dm39;70<i7;317>{t?m91<7<t=3af>67<5;l<6<<;;|q4`1<72;q6>nk5339>6c1=9;?0q~9k5;296~;5kl08?63=f68263=z{>n=6=4={<0`a?53348m;7?=7:p3a1=838p1?mj:27897`028837p}8d983>7}::jo1?;522g5957g<uz=o57>52z?1g`<40279j:4>2b9~w2bf2909w0<lf;0e?84c93;9>6s|7e`94?4|5;im6>l4=3f2>44a3ty<hn4?:3y>6f`=;l16>i?512c8yv1cl3:1>v3=cg80b>;5l80:8?5rs6ff>5<5s48hj7:?;<0g5?73<2wx;ih50;0x97ea2=;01?j>:066?xu0m90;6?u22bd907=::m;1=984}r5f5?6=:r79ok4;3:?1`4<6<>1v:k=:18184dn3>?70<k1;37<>{t?l91<7<t=3ae>13<5;n:6<:6;|q4a1<72;q6>nh5319>6a7=9;90q~9j5;296~;5ko08=63=d08261=z{>o=6=4={<0`b?55348o=7?=5:p3`1=838p1?mi:21897b6288=7p}8e983>7}::jl1?9522e39571<uz=n57>52z?1gc<4=279h<4>299~w2cf2909w0<lf;15?84c93;9m6s|7d`94?4|5;im6>64=3f2>44d3ty<in4?:5y>7de=m:169995e29>11b=m:1698k52208yv1bl3:1n=u22b`951`<5;im6ol4=3ae>f3<5;im6n84=3ae>f1<5;im6h:4=3ae>`c<5;im6hh4=3ae>c6<5;im6k?4=3ae>c4<5;im6k=4=3ae>c2<5;im6k;4=3ae>c0<5;im6h;4=3ae>`0<5;im6h94=3ae>`><5;im6h74=3ae>`g<5;im6hl4=3ae>`b<5;im6<6k;<0`b?7>8279ok4>909>6f`=90801?mi:0;7?84dn3;ho63=cg82`2=::jl1=h<4=3ae>4cc348hj7?i7:?1gc<6n116>nh51g;897ea28lj70<lf;3ef>;5ko0:jn522bd95fb<5;im6<mj;<0`b?7dn279ok4>d19>6f`=9m;01?mi:0f1?84dn3;o?63=cg82`1=::jl1=i;4=3ae>4b1348hj7?k8:?1gc<6l016>nh51ec897ea28ni70<lf;3gg>;5ko0:hi522bd95ac<5;im6<ji;<0`b?7b8279ok4>e09>6f`=9l901?mi:0g7?84dn3;n963=cg82a3=::jl1=h94=3ae>4c?348hj7?j9:?1gc<6mh16>nh51d`897ea28oh70<lf;3fa>;5ko0:ik522bd95c6<5;im6<h>;<0`b?7a:279ok4>f29>6f`=9o>01?mi:0d5?84dn388;63=cg817==::jl1>>74=3af>gd<5;in6n;4=3af>f0<5;in6n94=3af>`2<5;in6hk4=3af>``<5;in6k>4=3af>c7<5;in6k<4=3af>c5<5;in6k:4=3af>c3<5;in6k84=3af>`3<5;in6h84=3af>`1<5;in6h64=3af>`?<5;in6ho4=3af>`d<5;in6hj4=3af>4>c348hi7?7f:?1g`<61916>nk5183897eb283970<le;003>;5kl09?5522bg966?<5;io6ol4=3ag>f0<5;io6n94=3ag>`2<5;io6hk4=3ag>``<5;io6k>4=3ag>c7<5;io6k<4=3ag>c5<5;io6k:4=3ag>c3<5;io6k84=3ag>`3<5;io6h84=3ag>`1<5;io6h64=3ag>`?<5;io6ho4=3ag>`d<5;io6hj4=3ag>4>c348hh7?60:?1ga<61816>nj5180897ec2;9370<ld;00=>;5kj0in63=cb8`2>;5kj0h;63=cb8f0>;5kj0ni63=cb8fb>;5kj0m<63=cb8e5>;5kj0m>63=cb8e7>;5kj0m863=cb8e1>;5kj0m:63=cb8f1>;5kj0n:63=cb8f3>;5kj0n463=cb8f=>;5kj0nm63=cb8ff>;5kj0nh63=cb82<a=::ji1=4>4=3a`>4?6348ho7?62:?1gf<5;116>nm522;897ea282n70<lf;3;b>;5l90:<>522e39555<5;l<6<><;<12f?76j278><4>1c9>76?=n01698<5e29>104=:j1698<52e9>102=:j1698:52e9~w2cb290?w0=nd;g0?833>3o870;;a;g0?832k388>6s|7dd94?4|5:kn6?==;<760?c43ty<j=4?:5y>032=m:169975e29>11e=m:1699k519c8yv1a93:1>v3<b082<d=:;hl1on5rs6d1>5<4s49i>7?7a:?0f4<5;l16?o>514:8yv1a;3:1>v3<b08e3>;3l10:4l5rs6d7>5<4s49i87?7a:?0f4<dk278n=4lc:p3c3=839p1>l::0:b?85e<388i63<b2821==z{>l=6=4={<1a0?`034>o57?7a:p3c1=839p1>l8:0:b?85e<3ih70=m3;a`?xu0n10;6>u23c:95=g<5:h<6?=j;<1a2?7202wx;k750;0x96d02o=019jn:0:b?xu0nh0;6>u23cc95=g<5:h<6nm4=2`5>fe<uz=mn7>53z?0fg<60h16?oo522g896d>28?37p}8fb83>7}:;kk1j:524e`95=g<uz=mh7>53z?0fa<60h16?oo5cb9>7g?=kj1v:hj:18085em3;3m63<be817`=:;ki1=864}r5eb?6=:r78ni4i7:?7`f<60h1v5>?:18085d83;3m63<be8`g>;4jj0ho6s|81394?5|5:i:6<6n;<1`4?44m278nk4>599~w=652909w0=l0;d4?82cl3;3m6s|81194?5|5:i86<6n;<1`4?ed349ij7ml;|q;41<72:q6?n:519c896e42;9n70=l2;36<>{t09?1<7<t=2a0>c1<5=nn6<6n;|q;43<72:q6?n8519c896e42ji01>m=:ba8yv>7?3:1?v3<c682<d=:;j<1>>k4=2a6>43?3ty3<54?:3y>7f0=n>168ih519c8yv>713:1?v3<c882<d=:;j<1on523b79gf=z{1:j6=4<{<1`e?7?i278o44=3d9>7f>=9<20q~6?b;296~;4k00m;63;e182<d=z{1:h6=4<{<1`g?7?i278o44lc:?0g=<dk2wx4=j50;1x96ec282j70=lc;00a>;4kk0:955rs92f>5<5s49ho7h8;<6f5?7?i2wx4=h50;1x96ea282j70=lc;a`?85dj3ih7p}71183>6}:;m:1=5o4=2ae>75b349hi7?:8:p<47=838p1>mi:g5891c5282j7p}71383>6}:;m81=5o4=2ae>fe<5:in6nm4}r:27?6=;r78h>4>8`9>7a4=::o01>j>:07;?xu?9=0;6?u23e09b2=:<l91=5o4}r:21?6=;r78h84>8`9>7a4=kj16?i?5cb9~w=712908w0=k6;3;e>;4l<09?h523e6950><uz2:;7>52z?0`0<a?27?i94>8`9~w=7?2908w0=k8;3;e>;4l<0ho63<d58`g>{t0831<7=t=2f:>4>f349o47<<e:?0`2<6=11v5?n:18185c03l<70:j5;3;e>{t08h1<7=t=2fa>4>f349o47ml;<1g3?ed3ty3=n4?:2y>7ae=91k01>jm:31f?85ci3;>46s|80f94?4|5:ni6k94=5g5>4>f3ty3=h4?:2y>7ac=91k01>jm:ba896bf2ji0q~6>f;297~;4lo0:4l523eg966c<5:no6<;7;|q;65<72;q6?ik5f69>0`1=91k0q~6=1;297~;4m80:4l523eg9gf=:;mn1on5rs901>5<4s49n>7?7a:?0a4<5;l16?h>514:8yv>5;3:1>v3<e08e3>;3m10:4l5rs907>5<4s49n87?7a:?0a4<dk278i=4lc:p<73=839p1>k::0:b?85b<388i63<e2821==z{18=6=4={<1f0?`034>n57?7a:p<71=839p1>k8:0:b?85b<3ih70=j3;a`?xu?:10;6>u23d:95=g<5:o<6?=j;<1f2?7202wx4?750;0x96c02o=019kn:0:b?xu?:h0;6>u23dc95=g<5:o<6nm4=2g5>fe<uz29n7>53z?0ag<60h16?ho522g896c>28?37p}72b83>7}:;lk1j:524d`95=g<uz29h7>53z?0aa<60h16?ho5cb9>7`?=kj1v5<j:18085bm3;3m63<ee817`=:;li1=864}r:1b?6=:r78ii4i7:?7af<60h1v5=?:18085a83;3m63<ee8`g>;4mj0ho6s|82394?5|5:l:6<6n;<1e4?44m278ik4>599~w=552909w0=i0;d4?82bl3;3m6s|82194?5|5:l86<6n;<1e4?ed349nj7ml;|q;71<72:q6?k:519c896`42;9n70=i2;36<>{t0:?1<7<t=2d0>c1<5=on6<6n;|q;73<72:q6?k8519c896`42ji01>h=:ba8yv>4?3:1?v3<f682<d=:;o<1>>k4=2d6>43?3ty3?54?:3y>7c0=n>168hh519c8yv>413:1?v3<f882<d=:;o<1on523g79gf=z{19j6=4<{<1ee?7?i278j44=3d9>7c>=9<20q~6<b;296~;4n00m;63;f182<d=z{19h6=4<{<1eg?7?i278j44lc:?0b=<dk2wx4>j50;1x96`c282j70=ic;00a>;4nk0:955rs91f>5<5s49mo7h8;<6e5?7?i2wx4>h50;1x96`a282j70=ic;a`?85aj3ih7p}74183>6}:<9:1=5o4=2de>75b349mi7?:8:p<17=838p1>hi:g5891`5282j7p}74383>6}:<981=5o4=2de>fe<5:ln6nm4}r:77?6=;r7?<>4>8`9>054=::o019>>:07;?xu?<=0;6?u24109b2=:<o91=5o4}r:71?6=;r7?<84>8`9>054=kj168=?5cb9~w=212908w0:?6;3;e>;38<09?h52416950><uz2?;7>52z?740<a?27?j94>8`9~w=2?2908w0:?8;3;e>;38<0ho63;058`g>{t0=31<7=t=52:>4>f34>;47<<e:?742<6=11v5:n:18182703l<70:i5;3;e>{t0=h1<7=t=52a>4>f34>;47ml;<633?ed3ty38n4?:2y>05e=91k019>m:31f?827i3;>46s|85f94?4|5=:i6k94=5d5>4>f3ty38h4?:2y>05c=91k019>m:ba8916f2ji0q~6;f;297~;38o0:4l5241g966c<5=:o6<;7;|q;15<72;q68=k5f69>0c1=91k0q~6:1;297~;3980:4l5241g9gf=:<9n1on5rs971>5<4s4>:>7?7a:?754<5;l168<>514:8yv>2;3:1>v3;108e3>;3n10:4l5rs977>5<4s4>:87?7a:?754<dk27?==4lc:p<03=839p19?::0:b?826<388i63;12821==z{1?=6=4={<620?`034>m57?7a:p<01=839p19?8:0:b?826<3ih70:>3;a`?xu?=10;6>u240:95=g<5=;<6?=j;<622?7202wx48750;0x91702o=019hn:0:b?xu?=h0;6>u240;95=g<5=;<6nm4=535>fe<uz2>n7>52z?75d<60h168<7522g8yv>2k3:1>v3;188e3>;3nk0:4l5rs97g>5<5s4>:57ml;<77b?7?i2wx48k50;0x917c282j70:>b;a`?xu?=o0;6>u240f966c<5=;h6<;7;<777?7?i2wx4;>50;0x917c2o=019hl:0:b?xu?>80;6>u240d95=g<5=;o6nm4=53`>fe<uz2=>7>53z?75c<5;l168<k514:89025282j7p}76283>7}:<8l1j:524gf95=g<uz2=87>53z?764<60h168<h5cb9>04c=kj1v58::1808259388i63;21821==:==;1=5o4}r:52?6=:r7?><4i7:?7b`<60h1v588:180825;3;3m63;208`g>;3:90ho6s|87:94?5|5=886?=j;<616?72027>8=4>8`9~w=0>2909w0:=3;d4?82an3;3m6s|87c94?5|5=8>6<6n;<617?ed34>9>7ml;|q;2g<72:q68?;522g8914328?370;<f;3;e>{t0?i1<7<t=506>c1<5<:;6<6n;|q;2a<72:q68?9519c891422ji019<;:ba8yv>1m3:1?v3;26817`=:<;<1=864=41f>4>f3ty3:k4?:3y>071=n>169=?519c8yv>083:1?v3;2882<d=:<;=1on524349gf=z{1=:6=4<{<61=?44m27?>54>599>16b=91k0q~682;296~;3:00m;63:0382<d=z{1=86=4<{<61f?7?i27?>44lc:?76=<dk2wx4::50;1x914e2;9n70:=a;36<>;2;j0:4l5rs956>5<5s4>9n7h8;<737?7?i2wx4:850;1x914c282j70:=b;a`?825i3ih7p}77683>6}:<;n1>>k4=50`>43?34?8n7?7a:p<2>=838p19<k:g589063282j7p}77883>6}:<;l1=5o4=50g>fe<5=8h6nm4}r:4e?6=;r7?>k4=3d9>07c=9<2018=n:0:b?xu??k0;6?u243d9b2=:=9?1=5o4}r:4g?6=;r7??<4>8`9>07`=kj168?k5cb9~w=1c2908w0:<1;00a>;3;90:955252;95=g<uz2<i7>52z?774<a?27><;4>8`9~w=1a2908w0:<3;3;e>;3;80ho63;318`g>{t01:1<7=t=510>75b34>8>7?:8:?67=<60h1v56>:181824;3l<70;?7;3;e>{t0181<7=t=516>4>f34>8?7ml;<606?ed3ty34>4?:2y>063=::o019=;:07;?834?3;3m6s|89694?4|5=9>6k94=42;>4>f3ty3484?:2y>061=91k019=::ba891532ji0q~676;297~;3;>09?h52424950><5<9=6<6n;|q;<2<72;q68>95f69>15?=91k0q~678;297~;3;00:4l524259gf=:<:<1on5rs9::>5<4s4>857<<e:?77=<6=1169>;519c8yv>?i3:1>v3;388e3>;28h0:4l5rs9:a>5<4s4>8n7?7a:?77<<dk27??54lc:p<=e=839p19=m:31f?824i3;>463:3582<d=z{12o6=4={<60f?`034?;n7?7a:p<=c=839p19=k:0:b?824j3ih70:<a;a`?xu?0o0;6>u242f966c<5=9h6<;7;<707?7?i2wx44>50;0x915c2o=018>l:0:b?xu?180;6>u242d95=g<5=9o6nm4=51`>fe<uz22>7>53z?77c<5;l168>k514:89055282j7p}79283>7}:<:l1j:5251f95=g<uz2287>53z?704<60h168>h5cb9>06c=kj1v57::1808239388i63;41821==:=:;1=5o4}r::2?6=:r7?8<4i7:?64`<60h1v578:180823;3;3m63;408`g>;3<90ho6s|88:94?5|5=>86?=j;<676?72027>?=4>8`9~w=?>2909w0:;3;d4?837n3;3m6s|88c94?5|5=>>6<6n;<677?ed34>?>7ml;|q;=g<72:q689;522g8912328?370;=f;3;e>{t00i1<7<t=566>c1<5<;;6<6n;|q;=a<72:q6899519c891222ji019:;:ba8yv>>m3:1?v3;46817`=:<=<1=864=40f>4>f3ty35k4?:3y>011=n>169<?519c8yv>f83:1?v3;4882<d=:<==1on524549gf=z{1k:6=4<{<67=?44m27?854>599>17b=91k0q~6n2;296~;3<00m;63:1382<d=z{1k86=4<{<67f?7?i27?844lc:?70=<dk2wx4l:50;1x912e2;9n70:;a;36<>;2:j0:4l5rs9c6>5<5s4>?n7h8;<727?7?i2wx4l850;1x912c282j70:;b;a`?823i3ih7p}7a683>6}:<=n1>>k4=56`>43?34?9n7?7a:p<d>=838p19:k:g589073282j7p}7a883>6}:<=l1=5o4=56g>fe<5=>h6nm4}r:be?6=;r7?8k4=3d9>01c=9<2018<n:0:b?xu?ik0;6?u245d9b2=:=8?1=5o4}r:bg?6=;r7?9<4>8`9>01`=kj1689k5cb9~w=gc2908w0::1;00a>;3=90:955253;95=g<uz2ji7>52z?714<a?27>=;4>8`9~w=ga2908w0::3;3;e>;3=80ho63;518`g>{t0k:1<7=t=570>75b34>>>7?:8:?662<60h1v5l>:181822;3l<70;>7;3;e>{t0k81<7=t=576>4>f34>>?7ml;<666?ed3ty3n>4?:2y>003=::o019;;:07;?835>3;3m6s|8c694?4|5=?>6k94=43;>4>f3ty3n84?:2y>001=91k019;::ba891332ji0q~6m6;297~;3=>09?h52444950><5<8>6<6n;|q;f2<72;q68895f69>14?=91k0q~6m8;297~;3=00:4l524459gf=:<<<1on5rs9`:>5<4s4>>57<<e:?71=<6=1169?:519c8yv>ei3:1>v3;588e3>;29h0:4l5rs9`a>5<4s4>>n7?7a:?71<<dk27?954lc:p<ge=839p19;m:31f?822i3;>463:2282<d=z{1ho6=4={<66f?`034?:n7?7a:p<gc=839p19;k:0:b?822j3ih70::a;a`?xu?jo0;6>u244f966c<5=?h6<;7;<716?7?i2wx4n>50;0x913c2o=018?l:0:b?xu?k80;6>u244d95=g<5=?o6nm4=57`>fe<uz2h>7>53z?71c<5;l1688k514:89046282j7p}7c283>7}:<<l1j:5250f95=g<uz2h87>53z?724<60h1688h5cb9>00c=kj1v5m::1808219388i63;61821==:=8l1=5o4}r:`2?6=:r7?:<4i7:?65`<60h1v5m8:180821:3;3m63;608`g>;3>90ho6s|8b:94?4|5=<96?=j;<765?7?i2wx4n750;0x91052o=018<?:0:b?xu?kh0;6?u24709gf=:=;21=5o4}r:`f?6=:r7?:=4>8`9>0de=m:1v5ml:181822m3;3m63;ac8f7>{t0jn1<7<t=57`>4>f34>jm7k<;|q;g`<72;q688o519c891g>2l90q~6lf;296~;3=10:4l524`:9a6=z{1n;6=4={<662?7?i27?m:4j3:p<a7=838p19;;:0:b?82f>3o87p}7d383>7}:<<81=5o4=5c6>`5<uz2o?7>52z?715<60h168l:5e29~w=b32909w0:;e;3;e>;3i:0n?6s|8e794?4|5=>h6<6n;<6b6?c43ty3h;4?:3y>01g=91k019o>:d18yv>c?3:1>v3;4982<d=:<h:1i>5rs9f;>5<5s4>?:7?7a:?7=c<b;2wx4i750;0x9123282j70:6e;g0?xu?lh0;6?u245095=g<5=3o6h=4}r:gf?6=:r7?8=4>8`9>0<e=m:1v5jl:181824m3;3m63;9c8f7>{t0mn1<7<t=51`>4>f34>2m7k<;|q;``<72;q68>o519c891?>2l90q~6kf;296~;3;10:4l5248:9a6=z{1o;6=4={<602?7?i27?5:4j3:p<`7=838p19=;:0:b?82>>3o87p}7e383>7}:<:81=5o4=5;6>`5<uz2n?7>52z?775<60h1684:5e29~w=c32909w0:=e;3;e>;31:0n?6s|8d794?4|5=8h6<6n;<6:6?c43ty3i;4?:3y>07g=91k0197>:d18yv>b?3:1>v3;2982<d=:<0:1i>5rs9g;>5<5s4>9:7?7a:?7<c<b;2wx4h750;0x9143282j70:7e;g0?xu?mh0;6?u243095=g<5=2o6h=4}r:ff?6=:r7?>=4>8`9>0=e=m:1v5kl:181826m3;3m63;8c8f7>{t0ln1<7<t=53`>4>f34>3m7k<;|q;a`<72;q68<l519c891>>2l90q~6jf;290~;3>:0n?63:4482<d=:==21i>5255`9a6=z{1l;6=4={<622?7?i27?454j3:p<c7=838p19?<:0:b?82??3o87p}7f383>7}:<8:1=5o4=5:5>`5<uz2m?7>52z?74a<60h1685;5e29~w=`32909w0:?a;3;e>;30=0n?6s|8g794?4|5=:<6<6n;<6;7?c43ty3j;4?:3y>052=91k0196=:d18yv>a?3:1>v3;0082<d=:<1;1i>5rs9d;>5<5s49mi7?7a:?7<5<b;2wx4k750;0x96`e282j70:8f;g0?xu?nh0;6?u23g:95=g<5==n6h=4}r:ef?6=:r78j84>8`9>02b=m:1v5hl:18185a:3;3m63;7b8f7>{t0on1<7<t=2ge>4>f34><n7k<;|q;b`<72;q6?hm519c8911f2l90q~6if;296~;4m00:4l5246;9a6=z{0:;6=4={<1f2?7?i27?;54j3:p=57=838p1>k<:0:b?820?3o87p}60383>7}:;l:1=5o4=555>`5<uz3;?7>52z?0`a<60h168:;5e29~w<632909w0=ka;3;e>;3?=0n?6s|91794?4|5:n<6<6n;<647?c43ty2<;4?:3y>7a2=91k0199=:d18yv?7?3:1>v3<d082<d=:<>;1i>5rs82;>5<5s49hi7?7a:?735<b;2wx5=750;0x96ee282j70:9f;g0?xu>8h0;6?u23b:95=g<5=<n6h=4}r;3f?6=:r78o84>8`9>03b=m:1v4>l:18185d:3;3m63;6b8f7>{t19n1<7<t=2`e>4>f34>=n7k<;|q:4`<72;q6?om519c8910f2l90q~7?f;296~;4j00:4l5247;9a6=z{0;;6=4={<1a2?7?i27?:54j3:p=47=838p1>l<:0:b?821?3o87p}61383>7}:;k:1=5o4=545>`5<uz3:?7>52z?0ec<60h168;;5e29~w<73290:w0:95;006>{t18?1<7<t=5`7>75534>:47?:2:p=40=838p19l<:311?826=3;>>6s|90594?4|5=h96?==;<626?72:2wx5<650;0x91d62;9970:?f;366>{t1831<7<t=5`3>75534>;o7?:2:p=4g=838p19oi:311?82713;>>6s|90`94?4|5=kn6?==;<632?72:2wx5<m50;3g82fl388>63<b38216=:;k?1=8=4=2`;>434349in7?:3:?0f`<6=:16?n?5141896e328?870=l7;367>;4kh0:9>523bf9505<5:n;6<;<;<1g7?72;278h;4>529>7a?=9<901>jl:070?85cn3;>?63<e38216=:;l?1=8=4=2g;>434349nn7?:5:?0a`<6=<16?k?5147896`328?>70=i7;361>;4nh0:98523gf9503<5=:;6<;:;<637?72=2wx5<j50;6x91b02l9019ol:311?836m3;>>63:1g8216=z{0;n6=4;{<6g2?c434>jn7<<2:?65a<6=;169??51418yv?6n3:18v3;d48f7>;3ih09??5250a9504<5<896<;<;|q:65<72=q68i:5e29>0d?=::8018?m:071?835;3;>?6s|93394?2|5=n86h=4=5c;>75534?:m7?:2:?661<6=:1v4<=:18782c:3o870:n7;006>;2900:9?525379505<uz39?7>54z?7`4<b;27?m;4=339>14>=9<8018<9:070?xu>:=0;69u24e29a6=:<h?1>><4=434>43534?9;7?:3:p=73=83>p19mi:d1891g32;9970;>6;366>;2:00:9>5rs805>5<3s4>hi7k<;<6b7?44:27>=84>539>17g=9<90q~7=7;290~;3km0n?63;a38177=:=8>1=8<4=40a>4343ty2>54?:5y>0fe=m:168l?52208907428?970;=c;367>{t1;31<7:t=5aa>`5<5=k;6?==;<726?72:27>>i4>529~w<4f290?w0:la;g0?82>n388>63:108217=:=;o1=8=4}r;1f?6=<r7?o44j3:?7=`<5;;169<>51408904a28?87p}62b83>1}:<j21i>5248f9664<5<:m6<;=;<704?72;2wx5?j50;6x91e02l90197l:311?837m3;>>63:308216=z{08n6=4;{<6`2?c434>2n7<<2:?64a<6=;169><51418yv?5n3:18v3;c48f7>;31h09??5251a9504<5<986<;<;|q:75<72=q68n:5e29>0<?=::8018>m:071?834<3;>?6s|92394?2|5=i86h=4=5;;>75534?;m7?:2:?670<6=:1v4==:18782d:3o870:67;006>;2800:9?525249505<uz38?7>54z?7g4<b;27?5;4=339>15>=9<8018=8:070?xu>;=0;69u24b29a6=:<0?1>><4=424>43534?847?:3:p=63=83>p19li:d1891?32;9970;?6;366>;2;00:9>5rs815>5<3s4>ii7k<;<6:7?44:27><84>539>16g=9<90q~7<7;290~;3jm0n?63;938177=:=9>1=8<4=41a>4343ty2?54?:5y>0ge=m:1684?52208906428?970;<c;367>{t1:31<7:t=5`a>`5<5=3;6?==;<736?72:27>?i4>529~w<5f290?w0:ma;g0?82?n388>63:008217=:=:o1=8=4}r;0f?6=<r7?n44j3:?7<`<5;;169=>51408905a28?87p}63b83>1}:<k21i>5249f9664<5=lm6<;=;<774?72;2wx5>j50;6x91d02l90196l:311?82am3;>>63:408216=z{09n6=4;{<6a2?c434>3n7<<2:?7ba<6=;1699<51418yv?4n3:18v3;b48f7>;30h09??524ga9504<5<>86<;=;|q:05<72;q685752208917f28?97p}64083>71|5=<?6?==;<6g<?72;27?h44>529>0ag=9<9019jm:070?82ck3;>?63;de8216=:<mo1=8=4=5fe>43434>n<7?:3:?7a4<6=:168h<5141891c428?870:j4;367>;3m<0:9>524d49505<5=o<6<;<;<6f<?72;27?i44>529>0`g=9<901>l=:076?85e=3;>963<b98210=:;kh1=8;4=2`f>432349h=7?:5:?0g1<6=<16?n95147896ef28?>70=ld;361>;4l90:98523e19503<5:n=6<;:;<1g=?72=278hn4>549>7a`=9<?01>k=:076?85b=3;>963<e98210=z{0>96=4>cz?726<5;;1698>5143896d528??70=m5;360>;4j10:99523c`9502<5:hn6<;;;<1`5?72<278o94>559>7f1=9<>01>mn:077?85dl3;>863<d18211=:;m91=8:4=2f5>433349o57?:4:?0`f<6==16?ih5146896c528??70=j5;360>;4m10:99523d`9502<5:on6<;;;<1e5?72<278j94>559>7c1=9<>01>hn:077?85al3;>86s|95194?4|5:km6<;7;<764?7?i2wx59:50;0x917e28?370;;4;3;e>{t1=?1<7?={<772?44:27>>=4>529>14>=9<9018?6:070?836i3;>?63:1c8216=:=8i1=8=4=43g>43434?:i7?:3:?66=<6=:1698?51438907a28?>70;=1;361>;2:;0:98525319503<5<8?6<;:;<711?72=27>>;4>549~w<212909w0;;7;006>;2:10:9?5rs864>5<2s4??47<<2:?7bg<6=;1699h51438917?28??70:>a;367>{t1=21<7?<{<77=?44:278io4>529>0`d=9<901>kj:070?82bk3;>?63<f08216=:<ln1=8=4=2d7>43434>ni7?:3:?0b2<6=:168hh5141896`f28?870:i0;367>;4nm0:9>524g39505<5=l96<;<;<6e7?72;27?<=4>559>055=9<>0q~7;9;2956}:==k1>><4=42e>43434?:=7?:3:?655<6=:169<<51418907428?870;>4;367>;29<0:9>525049505<5<;<6<;<;<713?72=27>>44>549>17g=9<?018<m:076?835k3;>963:2e8210=:=;o1=8:4=40e>43334?8<7?:4:p=1g=833p18:m:311?82783;>?63;028216=:<9<1=8:4=52:>43334>;o7?:4:?74c<6==168<<51468917228??7p}64c83>47|5<>h6?==;<6e0?72;27?j84>529>0c0=9<9019h8:070?82a03;>?63;f88216=:<ok1=8=4=5da>43434>;:7?:5:?74<<6=<168=m51478916a28?>70:>2;361>;39<0:985240:9503<5=;j6<;;;|q:0f<72oq699j52208904728?970;>f;360>;2:80:99525309502<5<886<;;;<710?72<27>>84>559>170=9<>018<8:077?83513;>863:2`8211=:=;h1=8:4=40`>43334?9h7?:4:p=1b=838p18;=:311?832;3o87p}64d83>7}:=<91>><4=47g>4353ty28k4?:3y>102=::8018;::d18yv?283:1>v3:548177=:=<h1=8<4}r;65?6=:r7>9;4=339>101=m:1v4;=:181832?388>63:598f7>{t1<91<7<t=47;>75534?>57k<;|q:11<72;q698752208903f2l90q~7:5;297~;2=h09??5254`9505<5<?o6<;<;|q:13<72;q698l519c8903d2l90q~7:7;296~;2=m0:4l5254g9a6=zuz8jm7>52z\1ed=::j31?i5rs3c4>5<5sW8j;63=c880e>{t:h<1<7<t^3c5?84d13927p}=a483>7}Y:h?01?m6:2:8yv4f<3:1>vP=a59>6f?=;?1v?o<:181[4f;279o44<5:p6d4=838pR?o=;<0`=?533ty9m<4?:3y]6d7<5;i26>=4}r0b4?6=:rT9m=522b;977=z{;3m6=4={_0:b>;5k008=6s|28g94?4|V;3n70<l9;13?xu5j;0;6?uQ2c0897e>2=?0q~<m1;296~X5j816>n75459~w7d72909wS<m0:?1g<<3;2wx>lh50;0xZ7ga348h57:=;|q1e`<72;qU>lk4=3a:>17<uz8jh7>52z\1ea=::j318=5rs3c`>5<5sW8jo63=c880b>{t:hh1<7<t^3ca?84d139n7p}=a983>7}Y:h201?m6:2`8yv4>l3:1>vP=9e9>6f?=:o1v?m?:181[4d8279o44:8:p6gb=838pR?lk;<0`=?323ty9nn4?:3y]6ge<5;i268:4}r0af?6=:rT9no522b;916=z{;hj6=4={_0ae>;5k00>=6s|2c;94?4|V;h270<l9;73?xu5j10;6?uQ2c:897e>2=l0q~<m7;296~X5j>16>n754d9~w7d12909wS<m6:?1g<<3l2wx>o;50;0xZ7d2348h57:l;|q1f1<72;qU>o:4=3a:>1d<uz8h47>52z\1g==::j31:=5rs3a4>5<5sW8h;63=c886b>{t:j<1<7<t^3a5?84d13?n7p}=c483>7}Y:j?01?m6:4f8yv4d<3:1>vP=c59>6f?==j1v?m<:181[4d;279o44:b:p6f4=838pR?m=;<0`=?3f3ty9o<4?:3y]6f7<5;i26874}r0aa?6=:rT9nh522b;913=z{;h86=4={_0a7>;5k00?m6s|57394?4|V<<:70<l9;ag?xu2>90;6?uQ572897e>2j80q~;mc;296~X2jj16>n752948yv32n3:1>vP:5g9>6f?=011v8l8:181[3e?279o44=809~w0d22909wS;m5:?1g<<5?o1v8l;:181[3e<279o44=7d9~w0d42909wS;m3:?1g<<5?m1v8l=:181[3e:279o44=7b9~w0d62909wS;m1:?1g<<5?k1v8l?:181[3e8279o44=7`9~w0ga2909wS;nf:?1g<<5?01v8oj:181[3fm279o44=799~w0gc2909wS;nd:?1g<<5?>1v8om:181[3fj279o44=749~w0gf2909wS;na:?1g<<5?=1v8o6:181[3f1279o44=729~w0g?2909wS;n8:?1g<<5?;1v8o8:181[3f?279o44=709~w0g12909wS;n6:?1g<<5?91v8o::181[3f=279o44=6g9~w0g32909wS;n4:?1g<<5>l1v8o<:181[3f;279o44=6e9~w0g52909wS;n2:?1g<<5>j1v8o?:181[3f8279o44=6`9~w0?a2909wS;6f:?1g<<5>01v87j:181[3>m279o44=699~w0?c2909wS;6d:?1g<<5>>1v87l:181[3>k279o44=679~w0?e2909wS;6b:?1g<<5><1v87n:181[3>i279o44=659~w0?>2909wS;69:?1g<<5>:1v877:181[3>0279o44=639~w0?02909wS;67:?1g<<5>81v87::181[3>=279o44=5g9~w0?32909wS;64:?1g<<5=l1v87<:181[3>;279o44=5e9~w0?52909wS;62:?1g<<5=j1v87>:181[3>9279o44=5c9~w0?72909wS;60:?1g<<5=h1v86i:181[3?n279o44=589~w0>b2909wS;7e:?1g<<5=11v86k:181[3?l279o44=569~w0>d2909wS;7c:?1g<<5=?1v86n:181[3?i279o44=559~w0>>2909wS;79:?1g<<5=:1v867:181[3?0279o44=539~w0>02909wS;77:?1g<<5=81v869:181[3?>279o44=519~w0>22909wS;75:?1g<<5<o1v86;:181[3?<279o44=4d9~w0>42909wS;73:?1g<<5<m1v86=:181[3?:279o44=4b9~w0>62909wS;71:?1g<<5<k1v89i:181[30n279o44=489~w01b2909wS;8e:?1g<<5<11v89k:181[30l279o44=469~w01d2909wS;8c:?1g<<5<?1v89m:181[30j279o44=449~w01f2909wS;8a:?1g<<5<=1v896:181[301279o44=429~w01?2909wS;88:?1g<<5<;1v898:181[30?279o44=409~w0112909wS;86:?1g<<5<91v8lm:181[3ej279o44=849~w0df2909wS;ma:?1g<<50=1v8l6:181[3e1279o44=829~w0d?2909wS;m8:?1g<<50;1v8ol:181[3fk279o44=779~w0g62909wS;n1:?1g<<5>k1v879:181[3>>279o44=619~w0>e2909wS;7b:?1g<<5=<1v86?:181[3?8279o44=4`9~w0122909wS;85:?1g<<5;o1vqc?n6883>47|,;2<6ljn;I0:4>{i9h<j6=4<{%0;3?gci2B95=5rn0c5f?6=;r.94:4nd`9K6<6<ug;j:n4?:2y'6=1=imk0D?7?;|l2e3b=83>pD?7?;|l2e3c=83>pD?7?;|l2e3`=83>pD?7?;|l2e26=83>pD?7?;|l2e27=83>pD?7?;|l2e24=83>pD?7?;|l2e25=83>pD?7?;|l2e22=83>pD?7?;|l2e23=83>pD?7?;|l2e20=83>pD?7?;|l2e21=83>pD?7?;|l2e2>=83>pD?7?;|l2e2?=83>pD?7?;|l2e2g=83>pD?7?;|l2e2d=83>pD?7?;|l2e2e=83>pD?7?;|l2e2b=83>pD?7?;|l2e2c=83>p(?68:`fb?M4>82we=l9i:187!4??3kom6F=919~j4g?83:18v*=868b`d=O:0:0qc?n8083>1}#:1=1mio4H3;3?xh6i181<7;t$3:4>dbf3A82<6sa1`:0>5<2s-83;7oka:J1=5=zf8k387>55z&1<2<flh1C>4>4}o3b<0<72<q/>595aec8L7?73td:m5850;7x 7>02hnj7E<60:m5d>0290>w)<77;cge>N5191vb<o78;291~"50>0jhl5G2828yk7f000;68u+2959eag<@;3;7p`>a9c94?3|,;2<6ljn;I0:4>{i9h2i6=4:{%0;3?gci2B95=5rn0c;g?6==r.94:4nd`9K6<6<ug;j4i4?:4y'6=1=imk0D?7?;|l2e=c=83?p(?68:`fb?M4>82we=l6i:186!4??3kom6F=919~j4g>83:19v*=868b`d=O:0:0qc?n9083>0}#:1=1mio4H3;3?xh6i081<7;t$3:4>dbf3A82<6sa1`;0>5<?sA82<6sa1`;7>5<?sA82<6sa1`;6>5<?sA82<6sa1`;5>5<5sA82<6sa1`;4>5<5sA;356F=919~j4g>03:1>vF>889K6<6<ug;j544?:3yK5=?<@;3;7p`>a8c94?4|@8227E<60:m5d?e2909wE?79:J1=5=zf8k2o7>52zJ2<<=O:0:0qc?n9e83>7}O9130D?7?;|l2e<c=838pD<66;I0:4>{i9h3m6=4={I3;=>N5191vb<on0;296~N6001C>4>4}o3be4<72;qC=574H3;3?xh6ih81<7<tH0::?M4>82we=lo<:181M7?12B95=5rn0cb0?6=:rB:445G2828yk7fi<0;6?uG19;8L7?73td:ml850;0xL4>>3A82<6sa1`c4>5<5sA;356F=919~j4gf03:1>vF>889K6<6<ug;jm44?:3yK5=?<@;3;7p`>a`c94?4|@8227E<60:m5dge2909wE?79:J1=5=zf8kjo7>52zJ2<<=O:0:0qc?nae83>7}O9130D?7?;|l2edc=838pD<66;I0:4>{i9hkm6=4={I3;=>N5191vb<om0;296~N6001C>4>4}o3bf4<72;qC=574H3;3?xh6ik81<7<tH0::?M4>82we=ll<:181M7?12B95=5rn0ca0?6=:rB:445G2828yk7fj<0;6?uG19;8L7?73td:mo850;0xL4>>3A82<6sa1``4>5<5sA;356F=919~j4ge03:1>vF>889K6<6<ug;jn44?:3yK5=?<@;3;7p`>acc94?4|@;3;7p`>ac`94?4|@;3;7p`>aca94?4|@;3;7p`>acf94?4|@;3;7p`>acg94?4|@;3;7p`>acd94?4|@;3;7p`>ab294?4|@;3;7p`>ab394?4|@;3;7p`>ab094?4|@;3;7p`>ab194?4|@;3;7p`>ab694?4|@;3;7p`>ab794?4|@;3;7p`>ab494?4|@;3;7p`>ab594?4|@;3;7p`>ab:94?4|@;3;7p`>ab;94?4|@;3;7p`>abc94?4|@;3;7p`>ab`94?4|@;3;7p`>aba94?4|@;3;7p`>abf94?4|@;3;7p`>abg94?4|@;3;7p`>abd94?4|@;3;7p`>ae294?4|@;3;7p`>ae394?4|@;3;7p`>ae094?4|@;3;7p`>ae194?4|@;3;7p`>ae694?4|@;3;7p`>ae794?4|@;3;7p`>ae494?4|@;3;7p`>ae594?4|@;3;7p`>ae:94?4|@;3;7p`>ae;94?4|@;3;7p`>aec94?4|@;3;7p`>ae`94?4|@;3;7p`>aea94?4|@;3;7p`>75094?7|@;3;7p`>75194?7|@;3;7p`>75694?7|@;3;7p`>75794?4|@8227)<77;cge>N5191vb<9;6;296~N6001/>595aec8L7?73td:;9950;0xL4>>3-83;7oka:J1=5=zf8=?47>52zJ2<<=#:1=1mio4H3;3?xh6?=31<7<tH0::?!4??3kom6F=919~j413i3:1>vF>889'6=1=imk0D?7?;|l231d=838pD<66;%0;3?gci2B95=5rn057g?6=:rB:445+2959eag<@;3;7p`>75f94?4|@8227)<77;cge>N5191vb<9;e;296~N6001/>595aec8L7?73td:;9h50;0xL4>>3-83;7oka:J1=5=zf8=><7>52zJ2<<=#:1=1mio4H3;3?xh6?<;1<7<tH0::?!4??3kom6F=919~j412:3:1>vF>889'6=1=imk0D?7?;|l2305=838pD<66;%0;3?gci2B95=5rn0560?6=:rB:445+2959eag<@;3;7p`>74794?4|@8227)<77;cge>N5191vb<9:6;296~N6001/>595aec8L7?73td:;8950;0xL4>>3-83;7oka:J1=5=zf8=>47>52zJ2<<=#:1=1mio4H3;3?xh6?<31<7<tH0::?!4??3kom6F=919~j412i3:1>vF>889'6=1=imk0D?7?;|l230d=838pD<66;%0;3?gci2B95=5rn056g?6=:rB:445+2959eag<@;3;7p`>74f94?4|@8227)<77;cge>N5191vb<9:e;296~N6001/>595aec8L7?73td:;8h50;0xL4>>3-83;7oka:J1=5=zf8==<7>52zJ2<<=#:1=1mio4H3;3?xh6??;1<7<tH0::?!4??3kom6F=919~j411:3:1>vF>889'6=1=imk0D?7?;|l2335=838pD<66;%0;3?gci2B95=5rn0550?6=:rB:445+2959eag<@;3;7p`>77794?4|@8227)<77;cge>N5191vb<996;296~N6001/>595aec8L7?73td:;;950;1xL4>>3A82<6sa164;>5<4sA;356F=919~j41113:1?vF>889K6<6<ug;<:l4?:2yK5=?<@;3;7p`>77`94?5|@8227E<60:m520d2908wE?79:J1=5=zf8==h7>53zJ2<<=O:0:0qc?86d83>6}O9130D?7?;|l233`=839pD<66;I0:4>{i9>=26=4={I0:4>{i9>=j6=4={I0:4>{i9>=i6=4={I0:4>{i9>=h6=4={I0:4>{i9>=o6=4={I0:4>{i9>=n6=4={I0:4>{i9>=m6=4={I0:4>{i9>2;6=4={I0:4>{i9>2:6=4={I0:4>{i9>296=4={I0:4>{i9>286=4={I0:4>{i9>2?6=4={I0:4>{i9>2>6=4={I0:4>{i9>2=6=4={I0:4>{i9>2<6=4={I0:4>{i9>236=4={I0:4>{i9>226=4={I0:4>{i9>2j6=4={I0:4>{i9>2i6=4={I0:4>{i9>2h6=4={I0:4>{i9>2o6=4={I0:4>{i9>2n6=4={I0:4>{i9>2m6=4={I0:4>{i9>3;6=4={I0:4>{i9>3:6=4={I0:4>{i9>396=4={I0:4>{i9>386=4={I0:4>{i9>3?6=4>{I0:4>{i9>3>6=4>{I0:4>{i9>3=6=4>{I0:4>{i9>3<6=4>{I0:4>{i9>336=4>{I0:4>{i9>326=4>{I0:4>{i9>3j6=4>{I0:4>{i9>3i6=4>{I0:4>{i9>3h6=4>{I0:4>{i9>3o6=4>{I0:4>{i9>3n6=4>{I0:4>{i9>3m6=4>{I0:4>{i9>k;6=4>{I0:4>{i9>k:6=4>{I0:4>{i9>k96=4>{I0:4>{i9>k86=4>{I0:4>{i9>k?6=4>{I0:4>{i9>k>6=4>{I0:4>{i9>k=6=4>{I0:4>{i9>k<6=4>{I0:4>{i9>k36=4>{I0:4>{i9>k26=4>{I0:4>{i9>kj6=4>{I0:4>{i9>ki6=4>{I0:4>{i9>kh6=4>{I0:4>{i9>ko6=4>{I0:4>{i9>kn6=4>{I0:4>{i9>km6=4>{I0:4>{i9>h;6=4>{I0:4>{i9>h:6=4>{I0:4>{i9>h96=4>{I0:4>{i9>h86=4>{I0:4>{i9>h?6=4>{I0:4>{i9>h>6=4>{I0:4>{i9>h=6=4>{I0:4>{i9>h<6=4>{I0:4>{i9>h36=4>{I0:4>{i9>h26=4>{I0:4>{i9>hj6=4>{I0:4>{i9>hi6=4>{I0:4>{i9>hh6=4>{I0:4>{i9>ho6=4>{I0:4>{i9>hn6=4>{I0:4>{i9>hm6=4>{I0:4>{i9>i;6=4>{I0:4>{i9>i:6=4>{I0:4>{i9>i96=4>{I0:4>{i9>i86=4>{I0:4>{i9>i?6=4={I0:4>{i9>i>6=4>{I0:4>{i9>i=6=4>{I0:4>{i9>i<6=4>{I0:4>{i9>i36=4>{I0:4>{i9>i26=4>{I0:4>{i9>ij6=4>{I0:4>{i9>ii6=4>{I0:4>{i9>ih6=4>{I0:4>{i9>io6=4>{I0:4>{i9>in6=4>{I0:4>{i9>im6=4>{I0:4>{i9>n;6=4>{I0:4>{i9>n:6=4>{I0:4>{i9>n96=4>{I0:4>{i9>n86=4>{I0:4>{i9>n?6=4>{I0:4>{i9>n>6=4>{I0:4>{i9>n=6=4>{I0:4>{i9>n<6=4>{I0:4>{i9>n36=4>{I0:4>{i9>n26=4>{I0:4>{i9>nj6=4>{I0:4>{i9>ni6=4>{I0:4>{i9>nh6=4>{I0:4>{i9>no6=4>{I0:4>{i9>nn6=4>{I0:4>{i9>nm6=4>{I0:4>{i9>o;6=4>{I0:4>{i9>o:6=4>{I0:4>{i9>o96=4>{I0:4>{i9>o86=4>{I0:4>{i9>o?6=4>{I0:4>{i9>o>6=4>{I0:4>{i9>o=6=4>{I0:4>{i9>o<6=4>{I0:4>{i9>o36=4>{I0:4>{i9>o26=4>{I0:4>{i9>oj6=4>{I0:4>{i9>oi6=4>{I0:4>{i9>oh6=4>{I0:4>{i9>oo6=4>{I0:4>{i9>on6=4>{I0:4>{i9>om6=4>{I0:4>{i9>l;6=4>{I0:4>{i9>l:6=4>{I0:4>{i9>l96=4>{I0:4>{i9>l86=4>{I0:4>{i9>l?6=4>{I0:4>{i9>l>6=4>{I0:4>{i9>l=6=4>{I0:4>{i9>l<6=4>{I0:4>{i9>l36=4>{I0:4>{i9>l26=4>{I0:4>{i9>lj6=4>{I0:4>{i9>li6=4>{I0:4>{i9>lh6=4>{I0:4>{i9>lo6=4>{I0:4>{i9>ln6=4>{I0:4>{i9>lm6=4>{I0:4>{i91:;6=4>{I0:4>{i91::6=4>{I0:4>{i91:96=4>{I0:4>{i91:86=4>{I0:4>{i91:?6=4>{I0:4>{i91:>6=4>{I0:4>{i91:=6=4>{I0:4>{i91:<6=4>{I0:4>{i91:36=4>{I0:4>{i91:26=4>{I0:4>{i91:j6=4>{I0:4>{i91:i6=4>{I0:4>{i91:h6=4>{I0:4>{i91:o6=4>{I0:4>{i91:n6=4>{I0:4>{i91:m6=4>{I0:4>{i91;;6=4>{I0:4>{i91;:6=4>{I0:4>{i91;96=4>{I0:4>{i91;86=4>{I0:4>{i91;?6=4={%0;3?gci2B95=5rn0:21?6=:r.94:4nd`9K6<6<ug;3=;4?:3y'6=1=imk0D?7?;|l2<41=838p(?68:`fb?M4>82we=5?7:181!4??3kom6F=919~j4>613:1>v*=868b`d=O:0:0qc?71`83>7}#:1=1mio4H3;3?xh608h1<7<t$3:4>dbf3A82<6sa193`>5<5s-83;7oka:J1=5=zf82:h7>52z&1<2<flh1C>4>4}o3;5`<72;q/>595aec8L7?73td:4<h50;0x 7>02hnj7E<60:m5=472909w)<77;cge>N5191vb<6=1;296~"50>0jhl5G2828yk7?:;0;6?u+2959eag<@;3;7p`>83194?4|,;2<6ljn;I0:4>{i918?6=4={%0;3?gci2B95=5rn0:11?6=9rB95=5rn0:12?6=9rB95=5rn0:13?6=9rB95=5rn0:1<?6=9rB95=5rn0:1=?6=9rB95=5rn0:1e?6=9rB95=5rn0:1f?6=9rB95=5rn0:1g?6=9rB95=5rn0:1`?6=9rB95=5rn0:1a?6=9rB95=5rn0:1b?6=9rB95=5rn0:04?6=9rB95=5rn0:05?6=9rB95=5rn0:06?6=9rB95=5rn0:07?6=9rB95=5rn0:00?6=9rB95=5rn0:01?6=9rB95=5rn0:02?6=9rB95=5rn0:03?6=:r.94:4nd`9K6<6<ug;3?54?:0yK6<6<ug;3?44?:0yK6<6<ug;3?l4?:0yK6<6<ug;3?o4?:0yK6<6<ug;3?n4?:0yK6<6<ug;3?i4?:0yK6<6<ug;3?h4?:0yK6<6<ug;3?k4?:0yK6<6<ug;38=4?:0yK6<6<ug;38<4?:0yK6<6<ug;38?4?:0yK6<6<ug;38>4?:0yK6<6<ug;3894?:0yK6<6<ug;3884?:0yK6<6<ug;38;4?:0yK6<6<ug;38:4?:0yK6<6<ug;3854?:0yK6<6<ug;3844?:0yK6<6<ug;38l4?:0yK6<6<ug;38o4?:0yK6<6<ug;38n4?:0yK6<6<ug;38i4?:0yK6<6<ug;38h4?:0yK6<6<ug;38k4?:0yK6<6<ug;39=4?:0yK6<6<ug;39<4?:0yK6<6<ug;39?4?:0yK6<6<ug;39>4?:0yK6<6<ug;3994?:0yK6<6<ug;3984?:0yK6<6<ug;39;4?:0yK6<6<ug;39:4?:0yK6<6<ug;3954?:0yK6<6<ug;3944?:0yK6<6<ug;39l4?:0yK6<6<ug;39o4?:0yK6<6<ug;39n4?:0yK6<6<ug;39i4?:0yK6<6<ug;39h4?:0yK6<6<ug;39k4?:0yK6<6<ug;3:=4?:0yK6<6<ug;3:<4?:0yK6<6<ug;3:?4?:0yK6<6<ug;3:>4?:0yK6<6<ug;3:94?:0yK6<6<ug;3:84?:0yK6<6<ug;3:;4?:0yK6<6<ug;3::4?:0yK6<6<ug;3:54?:0yK6<6<ug;3:44?:0yK6<6<ug;3:l4?:0yK6<6<ug;3:o4?:0yK6<6<ug;3:n4?:0yK6<6<ug;3:i4?:0yK6<6<ug;3:h4?:0yK6<6<ug;3:k4?:0yK6<6<ug;3;=4?:0yK6<6<ug;3;<4?:0yK6<6<ug;3;?4?:0yK6<6<ug;3;>4?:0yK6<6<ug;3;94?:0yK6<6<ug;3;84?:0yK6<6<ug;3;;4?:0yK6<6<ug;3;:4?:0yK6<6<ug;3;54?:0yK6<6<ug;3;44?:0yK6<6<ug;3;l4?:0yK6<6<ug;3;o4?:0yK6<6<ug;3;n4?:0yK6<6<ug;3;i4?:0yK6<6<ug;3;h4?:0yK6<6<ug;3;k4?:0yK6<6<ug;34=4?:0yK6<6<ug;34<4?:0yK6<6<ug;34?4?:0yK6<6<ug;34>4?:0yK6<6<ug;3494?:0yK6<6<ug;3484?:0yK6<6<ug;34;4?:0yK6<6<ug;34:4?:3y'6=1=imk0D?7?;|l2<=>=838p(?68:`fb?M4>82we=566:181!4??3kom6F=919~j4>?i3:1>v*=868b`d=O:0:0qc?78c83>7}#:1=1mio4H3;3?xh601i1<7<t$3:4>dbf3A82<6sa19:g>5<5s-83;7oka:J1=5=zf823i7>52z&1<2<flh1C>4>4}o3;<c<72;q/>595aec8L7?73td:44>50;0x 7>02hnj7E<60:m5=?62909w)<77;cge>N5191vb<662;296~"50>0jhl5G2828yk7?1:0;6?u+2959eag<@;3;7p`>88694?4|,;2<6ljn;I0:4>{i913>6=4={%0;3?gci2B95=5rn0::2?6=:r.94:4nd`9K6<6<ug;35:4?:3y'6=1=imk0D?7?;|l2<<>=83;pD?7?;|l2<<?=83;pD?7?;|l2<<g=83;pD?7?;|l2<<d=83;pD?7?;|l2<<e=83;pD?7?;|l2<<b=83;pD?7?;|l2<<c=83;pD?7?;|l2<<`=83;pD?7?;|l2<d6=83;pD?7?;|l2<d7=83;pD?7?;|l2<d4=83;pD?7?;|l2<d5=83;pD?7?;|l2<d2=83;pD?7?;|l2<d3=83;pD?7?;|l2<d0=83;pD?7?;|l2<d1=83;pD?7?;|l2<d>=83;pD?7?;|l2<d?=83;pD?7?;|l2<dg=838pD?7?;|l2<dd=838p(?68:`fb?M4>82we=5ol:181!4??3kom6F=919~j4>fl3:1>v*=868b`d=O:0:0qc?7ad83>7}#:1=1mio4H3;3?xh60hl1<7<t$3:4>dbf3A82<6sa19`3>5<5s-83;7oka:J1=5=zf82i=7>52z&1<2<flh1C>4>4}o3;f7<72;q/>595aec8L7?73td:4o=50;0x 7>02hnj7E<60:m5=d32909w)<77;cge>N5191vb<6m5;296~"50>0jhl5G2828yk7?j?0;6?u+2959eag<@;3;7p`>8c594?4|,;2<6ljn;I0:4>{i91h36=4={%0;3?gci2B95=5rn0:a=?6=:r.94:4nd`9K6<6<ug;3nl4?:3y'6=1=imk0D?7?;|l2<gd=838p(?68:`fb?M4>82we=5ll:181!4??3kom6F=919~j4>am3:19vF>889K6<6<ug;3ok4?:3y'6=1=imk0D?7?;|l2<a6=838p(?68:`fb?M4>82we=5j>:181!4??3kom6F=919~j4>c:3:1>v*=868b`d=O:0:0qc?7d283>7}#:1=1mio4H3;3?xh60m>1<7<tH3;3?xh60m?1<7<tH3;3?xh60m<1<7<tH3;3?xh60m=1<7<tH3;3?xh60m21<7<tH3;3?xh60m31<7<t$3:4>dbf3A82<6sa19fb>5<5s-83;7oka:J1=5=zf82on7>52z&1<2<flh1C>4>4}o3;`f<72;q/>595aec8L7?73td:4ij50;0x 7>02hnj7E<60:m5=bb2909w)<77;cge>N5191vb<6kf;296~"50>0jhl5G2828yk7?m90;6?u+2959eag<@;3;7p`>8d394?4|,;2<6ljn;I0:4>{i91o96=4={%0;3?gci2B95=5rn0:f7?6=:r.94:4nd`9K6<6<ug;3i94?:3y'6=1=imk0D?7?;|l2<`3=838p(?68:`fb?M4>82we=5k9:181!4??3kom6F=919~j4>b?3:1>v*=868b`d=O:0:0qc?7e983>7}#:1=1mio4H3;3?xh60l31<7<t$3:4>dbf3A82<6sa19gb>5<5s-83;7oka:J1=5=zf82mh7>51zJ1=5=zf82mj7>51zJ1=5=zf83;<7>51zJ2<<=O:0:0qc?60083>4}O:0:0qc?60383>4}O:0:0qc?60283>4}O:0:0qc?60583>4}O:0:0qc?60483>4}O:0:0qc?60783>4}O:0:0qc?60683>4}O:0:0qc?60983>4}O:0:0qc?60883>4}O:0:0qc?60`83>4}O:0:0qc?60c83>4}O:0:0qc?60b83>4}O:0:0qc?60e83>4}O:0:0qc?60d83>4}O:0:0qc?60g83>4}O:0:0qc?61183>4}O:0:0qc?61083>4}O:0:0qc?61383>4}O:0:0qc?61283>4}O:0:0qc?61583>4}O:0:0qc?61483>4}O:0:0qc?61783>4}O:0:0qc?61683>4}O:0:0qc?61983>4}O:0:0qc?61883>4}O:0:0qc?61`83>4}O:0:0qc?61c83>4}O:0:0qc?61b83>4}O:0:0qc?61e83>4}O:0:0qc?61d83>4}O:0:0qc?61g83>4}O:0:0qc?62183>4}O:0:0qc?62083>4}O:0:0qc?62383>4}O:0:0qc?62283>4}O:0:0qc?62583>4}O:0:0qc?62483>4}O:0:0qc?62783>4}O:0:0qc?62683>4}O:0:0qc?62983>4}O:0:0qc?62883>4}O:0:0qc?62`83>4}O:0:0qc?62c83>4}O:0:0qc?62b83>4}O:0:0qc?62e83>4}O:0:0qc?62d83>4}O:0:0qc?62g83>4}O:0:0qc?63183>4}O:0:0qc?63083>4}O:0:0qc?63383>4}O:0:0qc?63283>4}O:0:0qc?63583>4}O:0:0qc?63483>4}O:0:0qc?63783>4}O:0:0qc?63683>4}O:0:0qc?63983>4}O:0:0qc?63883>4}O:0:0qc?63`83>4}O:0:0qc?63c83>4}O:0:0qc?63b83>4}O:0:0qc?63e83>4}O:0:0qc?63d83>4}O:0:0qc?63g83>4}O:0:0qc?64183>4}O:0:0qc?64083>4}O:0:0qc?64383>4}O:0:0qc?64283>4}O:0:0qc?64583>4}O:0:0qc?64483>4}O:0:0qc?64783>4}O:0:0qc?64683>4}O:0:0qc?64983>4}O:0:0qc?64883>4}O:0:0qc?64`83>4}O:0:0qc?64c83>4}O:0:0qc?64b83>4}O:0:0qc?64e83>4}O:0:0qc?64d83>4}O:0:0qc?64g83>4}O:0:0qc?65183>4}O:0:0qc?65083>4}O:0:0qc?65383>4}O:0:0qc?65283>4}O:0:0qc?65583>4}O:0:0qc?65483>4}O:0:0qc?65783>4}O:0:0qc?65683>4}O:0:0qc?65983>4}O:0:0qc?65883>4}O:0:0qc?65`83>4}O:0:0qc?65c83>4}O:0:0qc?65b83>4}O:0:0qc?65e83>4}O:0:0qc?65d83>4}O:0:0qc?65g83>4}O:0:0qc?66183>4}O:0:0qc?66083>4}O:0:0qc?66383>4}O:0:0qc?66283>4}O:0:0qc?66583>4}O:0:0qc?66483>4}O:0:0qc?66783>4}O:0:0qc?66683>4}O:0:0qc?66983>4}O:0:0qc?66883>4}O:0:0qc?66`83>4}O:0:0qc?66c83>4}O:0:0qc?66b83>4}O:0:0qc?66e83>4}O:0:0qc?66d83>4}O:0:0qc?66g83>4}O:0:0qc?67183>4}O:0:0qc?67083>4}O:0:0qc?67383>4}O:0:0qc?67283>4}O:0:0qc?67583>4}O:0:0qc?67483>4}O:0:0qc?67783>4}O:0:0qc?67683>4}O:0:0qc?67983>4}O:0:0qc?67883>4}O:0:0qc?67`83>4}O:0:0qc?67c83>4}O:0:0qc?67b83>4}O:0:0qc?67e83>4}O:0:0qc?67d83>4}O:0:0qc?67g83>4}O:0:0qc?68183>4}O:0:0qc?68083>4}O:0:0qc?68383>4}O:0:0qc?68283>4}O:0:0qc?68583>4}O:0:0qc?68483>4}O:0:0qc?68783>4}O:0:0qc?68683>4}O:0:0qc?68983>4}O:0:0qc?68883>4}O:0:0qc?68`83>4}O:0:0qc?68c83>4}O:0:0qc?68b83>4}O:0:0qc?68e83>4}O:0:0qc?68d83>4}O:0:0qc?68g83>4}O:0:0qc?69183>4}O:0:0qc?69083>4}O:0:0qc?69383>4}O:0:0qc?69283>4}O:0:0qc?69583>4}O:0:0qc?69483>4}O:0:0qc?69783>4}O:0:0qc?69683>4}O:0:0qc?69983>4}O:0:0qc?69883>4}O:0:0qc?69`83>4}O:0:0qc?69c83>4}O:0:0qc?69b83>4}O:0:0qc?69e83>4}O:0:0qc?69d83>4}O:0:0qc?69g83>4}O:0:0qc?6a183>4}O:0:0qc?6a083>4}O:0:0qc?6a383>4}O:0:0qc?6a283>4}O:0:0qc?6a583>4}O:0:0qc?6a483>4}O:0:0qc?6a783>4}O:0:0qc?6a683>4}O:0:0qc?6a983>4}O:0:0qc?6a883>4}O:0:0qc?6a`83>4}O:0:0qc?6ac83>4}O:0:0qc?6ab83>4}O:0:0qc?6ae83>4}O:0:0qc?6ad83>4}O:0:0qc?6ag83>4}O:0:0qc?6b183>4}O:0:0qc?6b083>4}O:0:0qc?6b383>4}O:0:0qc?6b283>4}O:0:0qc?6b583>4}O:0:0qc?6b483>4}O:0:0qc?6b783>4}O:0:0qc?6b683>4}O:0:0qc?6b983>4}O:0:0qc?6b883>4}O:0:0qc?6b`83>4}O:0:0qc?6bc83>4}O:0:0qc?6bb83>4}O:0:0qc?6be83>4}O:0:0qc?6bd83>4}O:0:0qc?6bg83>4}O:0:0qc?6c183>4}O:0:0qc?6c083>4}O:0:0qc?6c383>4}O:0:0qc?6c283>4}O:0:0qc?6c583>4}O:0:0qc?6c483>4}O:0:0qc?6c783>4}O:0:0qc?6c683>4}O:0:0qc?6c983>4}O:0:0qc?6c883>4}O:0:0qc?6c`83>4}O:0:0qc?6cc83>4}O:0:0qc?6cb83>4}O:0:0qc?6ce83>4}O:0:0qc?6cd83>4}O:0:0qc?6cg83>4}O:0:0qc?6d183>4}O:0:0qc?6d083>4}O:0:0qc?6d383>4}O:0:0qc?6d283>4}O:0:0qc?6d583>4}O:0:0qc?6d483>4}O:0:0qc?6d783>4}O:0:0qc?6d683>4}O:0:0qc?6d983>4}O:0:0qc?6d883>4}O:0:0qc?6d`83>4}O:0:0qc?6dc83>4}O:0:0qc?6db83>4}O:0:0qc?6de83>4}O:0:0qc?6dd83>4}O:0:0qc?6dg83>4}O:0:0qc?6e183>4}O:0:0qc?6e083>4}O:0:0qc?6e383>4}O:0:0qc?6e283>4}O:0:0qc?6e583>4}O:0:0qc?6e483>4}O:0:0qc?6e783>4}O:0:0qc?6e683>4}O:0:0qc?6e983>4}O:0:0qc?6e883>4}O:0:0qc?6e`83>4}O:0:0qc?6ec83>4}O:0:0qc?6eb83>4}O:0:0qc?6ee83>4}O:0:0qc?6ed83>4}O:0:0qc?6eg83>4}O:0:0qc?6f183>4}O:0:0qc?6f083>4}O:0:0qc?6f383>4}O:0:0qc?6f283>4}O:0:0qc?6f583>4}O:0:0qc?6f483>4}O:0:0qc?6f783>4}O:0:0qc?6f683>4}O:0:0qc?6f983>4}O:0:0qc?6f883>4}O:0:0qc?6f`83>4}O:0:0qc?6fc83>4}O:0:0qc?6fb83>4}O:0:0qc?6fe83>4}O:0:0qc?6fd83>4}O:0:0qc?6fg83>4}O:0:0qc?n0183>4}O:0:0qc?n0083>4}O:0:0qc?n0383>4}O:0:0qc?n0283>4}O:0:0qc?n0583>4}O:0:0qc?n0483>4}O:0:0qc?n0783>4}O:0:0qc?n0683>4}O:0:0qc?n0983>4}O:0:0qc?n0883>4}O:0:0qc?n0`83>4}O:0:0qc?n0c83>4}O:0:0qc?n0b83>4}O:0:0qc?n0e83>4}O:0:0qc?n0d83>4}O:0:0qc?n0g83>4}O:0:0qc?n1183>4}O:0:0qc?n1083>4}O:0:0qc?n1383>4}O:0:0qc?n1283>4}O:0:0qc?n1583>4}O:0:0qc?n1483>4}O:0:0qc?n1783>4}O:0:0qc?n1683>4}O:0:0qc?n1983>4}O:0:0qc?n1883>4}O:0:0qc?n1`83>4}O:0:0qc?n1c83>4}O:0:0qc?n1b83>4}O:0:0qc?n1e83>4}O:0:0qc?n1d83>4}O:0:0qc?n1g83>4}O:0:0qc?n2183>4}O:0:0qc?n2083>4}O:0:0qc?n2383>4}O:0:0qc?n2283>4}O:0:0qc?n2583>4}O:0:0qc?n2483>4}O9130D?7?;|l2e70=83;pD?7?;|l2e71=83;pD?7?;|l2e7>=83;pD?7?;|l2e7?=83;pD?7?;|l2e7g=83;pD?7?;|l2e7d=83;pD?7?;|l2e7e=83;pD?7?;|l2e7b=83;pD?7?;|l2e7c=83;pD<66;I0:4>{i9h8m6=4>{I3;=>N5191vb<o<0;295~N6001C>4>4}o3b74<728qC=574H3;3?xh6i:81<7?tH0::?M4>82we=l=<:182M7?12B95=5rn0c00?6=9rB:445G2828yk7f;<0;6<uG19;8L7?73td:m>850;3xL4>>3A82<6sa1`14>5<6sA;356F=919~j4g403:1=vF>889K6<6<ug;j?44?:0yK5=?<@;3;7p`>a2c94?7|@8227E<60:m5d5e290:wE?79:J1=5=zf8k8o7>51zJ2<<=O:0:0qc?n3e83>4}O9130D?7?;|l2e6c=83;pD<66;I0:4>{i9h9m6=4>{I3;=>N5191vb<o;0;295~N6001C>4>4}o3b04<728qC=574H3;3?xh6i=81<7?tH0::?M4>82we=l:<:182M7?12B95=5rn0c70?6=9rB:445G2828yk7f<<0;6<uG19;8L7?73td:m9850;3xL4>>3A82<6sa1`64>5<6sA;356F=919~j4g303:1=vF>889K6<6<ug;j844?:0yK5=?<@;3;7p`>a5c94?7|@8227E<60:m5d2e290:wE?79:J1=5=zf8k?o7>51zJ2<<=O:0:0qc?n4e83>4}O9130D?7?;|l2e1c=83;pD<66;I0:4>{i9h>m6=4>{I3;=>N5191vb<o:0;295~N6001C>4>4}o3b14<728qC=574H3;3?xh6i<81<7?tH0::?M4>82we=l;<:182M7?12B95=5rn0c60?6=9rB95=5rn0c61?6=9rB95=5rn0c62?6=9rB95=5rn0c63?6=9rB95=5rn0c6<?6=9rB:445G2828yk7f=00;6<uG19;8L7?73td:m8o50;3xL7?73td:m8l50;3xL4>>3A82<6sa1`7`>5<6sA;356F=919~j4g2l3:1=vF=919~j4g2m3:1=vF=919~j4g2n3:1=vF=919~j4g183:1=vF=919~j4g193:1=vF=919~j4g1:3:1=vF=919~j4g1;3:1=vF=919~j4g1<3:1=vF=919~j4g1=3:1=vF=919~j4g1>3:1=vF=919~j4g1?3:1=vF=919~j4g103:1=vF=919~j2`7290:w)<77;cge>N5191vb:h>:182!4??3kom6F=919~j2`5290:w)<77;cge>N5191vb:h<:182!4??3kom6F=919~j2`3290:w)<77;cge>N5191vb:h::182!4??3kom6F=919~j2`1290:w)<77;cge>N5191vb:h8:182!4??3kom6F=919~j2`?290:w)<77;cge>N5191vb:h6:182!4??3kom6F=919~j2`f290:w)<77;cge>N5191vb:hm:182!4??3kom6F=919~j2`d290:w)<77;cge>N5191vb:hk:182!4??3kom6F=919~j2`b290:w)<77;cge>N5191vb:hi:182!4??3kom6F=919~j=67290:w)<77;cge>N5191vb5>>:182!4??3kom6F=919~j<7b290:w)<77;cge>N5191vb4?i:182!4??3kom6F=919~j<47290:w)<77;cge>N5191vb4<>:182!4??3kom6F=919~j<45290:w)<77;cge>N5191vb4<<:182!4??3kom6F=919~j<43290:w)<77;cge>N5191vb4<::182!4??3kom6F=919~j<41290:w)<77;cge>N5191vb4<8:182!4??3kom6F=919~j<4?290:w)<77;cge>N5191vb4<6:182!4??3kom6F=919~j<4f290:w)<77;cge>N5191vb4<m:182!4??3kom6F=919~j<4d290:w)<77;cge>N5191vb4<k:182!4??3kom6F=919~j<4b290:w)<77;cge>N5191vbl>6:182M4>82wem=o50;3xL7?73tdj<o4?:0yK6<6<ugk;o7>51zJ1=5=zfh:o6=4>{I0:4>{ii9o1<7?tH3;3?xhf8o0;6<uG2828ykg683:1=vF=919~jd76290:wE<60:me44=83;pD?7?;|lb56<728qC>4>4}oc20?6=9rB95=5rn`36>5<6sA82<6saa0494?7|@;3;7p`n1683>4}O:0:0qco>8;295~N5191vbn=m:182M4>82weo>m50;3xL7?73tdh?i4?:0yK6<6<ugi8i7>51zJ1=5=zfj9m6=4>{I0:4>{ik=:1<7?tH3;3?xhd<80;6<uG2828yke3:3:1=vF=919~jf24290:wE<60:mg12=83;pD?7?;|l`00<728qC>4>4}oa72?6=9rB95=5rnb64>5<6sA82<6sac5:94?7|@;3;7p`l4883>4}O:0:0qcm;a;295~N5191vbn:m:182M4>82weo9m50;3xL7?73tdh8i4?:0yK6<6<ugi?i7>51zJ1=5=zfj>m6=4>{I0:4>{ik<:1<7?tH3;3?xhd=80;6<uG2828yke2:3:1=vF=919~jf34290:wE<60:mg02=83;pD?7?;|l`10<728qC>4>4}oa62?6=9rB95=5rnb74>5<6sA82<6sac4:94?7|@;3;7p`l5883>4}O:0:0qcm:a;295~N5191vbn;m:182M4>82weo8m50;3xL7?73tdh9i4?:0yK6<6<ugi>i7>51zJ1=5=zfj?m6=4>{I0:4>{ik?:1<7?tH3;3?xhd>80;6<uG2828yke1:3:1=vF=919~jf04290:wE<60:mg32=83;pD?7?;|l`20<728qC>4>4}oa52?6=9rB95=5rnb44>5<6sA82<6sac7:94?7|@;3;7p`l6883>4}O:0:0qcm9a;295~N5191vbn8m:182M4>82weo;m50;3xL7?73tdh:i4?:0yK6<6<ugi=i7>51zJ1=5=zfj<m6=4>{I0:4>{ik>:1<7?tH3;3?xhd?80;6<uG2828yke0:3:1=vF=919~jf14290:wE<60:m`=5=83;p(?68:`fb?M4>82weh5:50;3x 7>02hnj7E<60:m`=3=83;p(?68:`fb?M4>82weh5850;3x 7>02hnj7E<60:m`=1=83;p(?68:`fb?M4>82weh5650;3x 7>02hnj7E<60:m`=?=83;p(?68:`fb?M4>82weh5o50;3x 7>02hnj7E<60:m`=d=83;p(?68:`fb?M4>82weh5m50;3x 7>02hnj7E<60:m`=b=83;p(?68:`fb?M4>82weh5k50;3x 7>02hnj7E<60:m`=`=83;p(?68:`fb?M4>82weh4>50;3x 7>02hnj7E<60:m`<7=83;p(?68:`fb?M4>82weh4<50;3x 7>02hnj7E<60:m`<5=83;p(?68:`fb?M4>82wvqpNOCz3fg=<c;;i>>9>r@A@x4xFGXrwKL \ No newline at end of file diff --git a/stitch_project/stitch/ipcore_dir/multiaddSin.sym b/stitch_project/stitch/ipcore_dir/multiaddSin.sym index 1a5093e27012613748ae60fb07b7ed952d31b299..73796566bffbe667ba81f44cb42e49bd057e9cf0 100644 --- a/stitch_project/stitch/ipcore_dir/multiaddSin.sym +++ b/stitch_project/stitch/ipcore_dir/multiaddSin.sym @@ -1,13 +1,14 @@ <?xml version="1.0" encoding="UTF-8"?> <symbol version="7" name="multiaddSin"> <symboltype>BLOCK</symboltype> - <timestamp>2024-8-22T13:2:56</timestamp> + <timestamp>2024-8-29T8:47:28</timestamp> <pin polarity="Input" x="0" y="80" name="a[20:0]" /> <pin polarity="Input" x="0" y="112" name="b[20:0]" /> <pin polarity="Input" x="0" y="144" name="clk" /> <pin polarity="Input" x="0" y="208" name="ce" /> + <pin polarity="Input" x="0" y="240" name="bypass" /> <pin polarity="Input" x="0" y="272" name="sclr" /> - <pin polarity="Output" x="288" y="80" name="s[63:0]" /> + <pin polarity="Output" x="288" y="80" name="s[69:0]" /> <graph> <text style="fontsize:40;fontname:Arial" x="32" y="32">multiaddSin</text> <rect width="224" x="32" y="32" height="288" /> @@ -19,9 +20,11 @@ <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="36" y="144" type="pin clk" /> <line x2="32" y1="208" y2="208" x1="0" /> <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="36" y="208" type="pin ce" /> + <line x2="32" y1="240" y2="240" x1="0" /> + <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="36" y="240" type="pin bypass" /> <line x2="32" y1="272" y2="272" x1="0" /> <attrtext style="fontsize:24;fontname:Arial" attrname="PinName" x="36" y="272" type="pin sclr" /> <line x2="256" y1="80" y2="80" style="linewidth:W" x1="288" /> - <attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="252" y="80" type="pin s[63:0]" /> + <attrtext style="alignment:RIGHT;fontsize:24;fontname:Arial" attrname="PinName" x="252" y="80" type="pin s[69:0]" /> </graph> </symbol> diff --git a/stitch_project/stitch/ipcore_dir/multiaddSin.vhd b/stitch_project/stitch/ipcore_dir/multiaddSin.vhd index 49e8b6f66e155e093338832cafa2797d143fbec5..93a57808cd9b4cd51cc903b164328415f9fc07b1 100644 --- a/stitch_project/stitch/ipcore_dir/multiaddSin.vhd +++ b/stitch_project/stitch/ipcore_dir/multiaddSin.vhd @@ -45,9 +45,10 @@ ENTITY multiaddSin IS clk : IN STD_LOGIC; ce : IN STD_LOGIC; sclr : IN STD_LOGIC; + bypass : IN STD_LOGIC; a : IN STD_LOGIC_VECTOR(20 DOWNTO 0); b : IN STD_LOGIC_VECTOR(20 DOWNTO 0); - s : OUT STD_LOGIC_VECTOR(63 DOWNTO 0) + s : OUT STD_LOGIC_VECTOR(69 DOWNTO 0) ); END multiaddSin; @@ -58,9 +59,10 @@ COMPONENT wrapped_multiaddSin clk : IN STD_LOGIC; ce : IN STD_LOGIC; sclr : IN STD_LOGIC; + bypass : IN STD_LOGIC; a : IN STD_LOGIC_VECTOR(20 DOWNTO 0); b : IN STD_LOGIC_VECTOR(20 DOWNTO 0); - s : OUT STD_LOGIC_VECTOR(63 DOWNTO 0) + s : OUT STD_LOGIC_VECTOR(69 DOWNTO 0) ); END COMPONENT; @@ -70,18 +72,18 @@ END COMPONENT; c_a_type => 0, c_a_width => 21, c_accum_mode => 0, - c_accum_width => 64, - c_b_type => 0, + c_accum_width => 70, + c_b_type => 1, c_b_width => 21, c_bypass_low => 0, c_ce_overrides_sclr => 0, - c_has_bypass => 0, - c_latency => 1, - c_out_width => 64, + c_has_bypass => 1, + c_latency => -1, + c_out_width => 70, c_round_type => 0, c_use_dsp48 => 1, c_verbosity => 0, - c_xdevicefamily => "spartan6l" + c_xdevicefamily => "spartan6" ); -- synthesis translate_on BEGIN @@ -91,6 +93,7 @@ U0 : wrapped_multiaddSin clk => clk, ce => ce, sclr => sclr, + bypass => bypass, a => a, b => b, s => s diff --git a/stitch_project/stitch/ipcore_dir/multiaddSin.vho b/stitch_project/stitch/ipcore_dir/multiaddSin.vho index 47b45772170329edd2d13c93b829504feb683eaf..a0551a7cca6a13fb09e49ab0d6f2888c72d4e51f 100644 --- a/stitch_project/stitch/ipcore_dir/multiaddSin.vho +++ b/stitch_project/stitch/ipcore_dir/multiaddSin.vho @@ -53,9 +53,10 @@ COMPONENT multiaddSin clk : IN STD_LOGIC; ce : IN STD_LOGIC; sclr : IN STD_LOGIC; + bypass : IN STD_LOGIC; a : IN STD_LOGIC_VECTOR(20 DOWNTO 0); b : IN STD_LOGIC_VECTOR(20 DOWNTO 0); - s : OUT STD_LOGIC_VECTOR(63 DOWNTO 0) + s : OUT STD_LOGIC_VECTOR(69 DOWNTO 0) ); END COMPONENT; -- COMP_TAG_END ------ End COMPONENT Declaration ------------ @@ -69,6 +70,7 @@ your_instance_name : multiaddSin clk => clk, ce => ce, sclr => sclr, + bypass => bypass, a => a, b => b, s => s diff --git a/stitch_project/stitch/ipcore_dir/multiaddSin.xco b/stitch_project/stitch/ipcore_dir/multiaddSin.xco index a023733629d822796e258d4aa1399ab496390ed6..b76bf97182e16637a5a96dd669a9e11de7044564 100644 --- a/stitch_project/stitch/ipcore_dir/multiaddSin.xco +++ b/stitch_project/stitch/ipcore_dir/multiaddSin.xco @@ -1,7 +1,7 @@ ############################################################## # # Xilinx Core Generator version 14.7 -# Date: Fri Jun 28 10:41:32 2024 +# Date: Sat Jun 29 02:23:50 2024 # ############################################################## # @@ -22,16 +22,16 @@ SET asysymbol = true SET busformat = BusFormatAngleBracketNotRipped SET createndf = false SET designentry = VHDL -SET device = xc6slx4l -SET devicefamily = spartan6l +SET device = xc6slx45 +SET devicefamily = spartan6 SET flowvendor = Other SET formalverification = false SET foundationsym = false SET implementationfiletype = Ngc -SET package = tqg144 +SET package = csg324 SET removerpms = false SET simulationfiles = Behavioral -SET speedgrade = -1l +SET speedgrade = -2 SET verilogsim = false SET vhdlsim = true # END Project Options @@ -42,20 +42,20 @@ SELECT Multiply_Accumulator xilinx.com:ip:xbip_multaccum:2.0 CSET a_input_type=Signed CSET a_input_width=21 CSET accum_mode=Add -CSET accum_width=64 -CSET b_input_type=Signed +CSET accum_width=70 +CSET b_input_type=Unsigned CSET b_input_width=21 -CSET bypass=false +CSET bypass=true CSET bypass_sense=Active_High CSET component_name=multiaddSin CSET implementation=DSP48 -CSET latency=1 -CSET latency_configuration=Manual -CSET output_width=64 +CSET latency=2 +CSET latency_configuration=Automatic +CSET output_width=70 CSET sync_ce_priority=Sync_Overrides_CE # END Parameters # BEGIN Extra information MISC pkg_timestamp=2013-07-22T10:40:50Z # END Extra information GENERATE -# CRC: 854bf4bf +# CRC: d37ce732 diff --git a/stitch_project/stitch/ipcore_dir/multiaddSin.xise b/stitch_project/stitch/ipcore_dir/multiaddSin.xise index f4eb5ab018053618ace2305739e04f618c3a9daf..1fb327cb17414033327eef2bd50d99ec75238d6e 100644 --- a/stitch_project/stitch/ipcore_dir/multiaddSin.xise +++ b/stitch_project/stitch/ipcore_dir/multiaddSin.xise @@ -17,11 +17,11 @@ <files> <file xil_pn:name="multiaddSin.ngc" xil_pn:type="FILE_NGC"> <association xil_pn:name="BehavioralSimulation" xil_pn:seqID="4"/> - <association xil_pn:name="Implementation" xil_pn:seqID="0"/> + <association xil_pn:name="Implementation" xil_pn:seqID="4"/> </file> <file xil_pn:name="multiaddSin.vhd" xil_pn:type="FILE_VHDL"> <association xil_pn:name="BehavioralSimulation" xil_pn:seqID="6"/> - <association xil_pn:name="Implementation" xil_pn:seqID="1"/> + <association xil_pn:name="Implementation" xil_pn:seqID="6"/> <association xil_pn:name="PostMapSimulation" xil_pn:seqID="6"/> <association xil_pn:name="PostRouteSimulation" xil_pn:seqID="6"/> <association xil_pn:name="PostTranslateSimulation" xil_pn:seqID="6"/> @@ -29,30 +29,359 @@ </files> <properties> + <property xil_pn:name="AES Initial Vector spartan6" xil_pn:value="" xil_pn:valueState="default"/> + <property xil_pn:name="AES Initial Vector virtex6" xil_pn:value="" xil_pn:valueState="default"/> + <property xil_pn:name="AES Key (Hex String) spartan6" xil_pn:value="" xil_pn:valueState="default"/> + <property xil_pn:name="AES Key (Hex String) virtex6" xil_pn:value="" xil_pn:valueState="default"/> + <property xil_pn:name="Add I/O Buffers" xil_pn:value="true" xil_pn:valueState="default"/> + <property xil_pn:name="Allow Logic Optimization Across Hierarchy" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Allow SelectMAP Pins to Persist" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Allow Unexpanded Blocks" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Allow Unmatched LOC Constraints" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Allow Unmatched Timing Group Constraints" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Analysis Effort Level" xil_pn:value="Standard" xil_pn:valueState="default"/> + <property xil_pn:name="Asynchronous To Synchronous" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Auto Implementation Compile Order" xil_pn:value="true" xil_pn:valueState="default"/> <property xil_pn:name="Auto Implementation Top" xil_pn:value="false" xil_pn:valueState="non-default"/> - <property xil_pn:name="Device" xil_pn:value="xc6slx4l" xil_pn:valueState="default"/> - <property xil_pn:name="Device Family" xil_pn:value="Spartan6 Lower Power" xil_pn:valueState="non-default"/> - <property xil_pn:name="Enable Internal Done Pipe" xil_pn:value="true" xil_pn:valueState="non-default"/> - <property xil_pn:name="Implementation Stop View" xil_pn:value="PreSynthesis" xil_pn:valueState="non-default"/> + <property xil_pn:name="Automatic BRAM Packing" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Automatically Insert glbl Module in the Netlist" xil_pn:value="true" xil_pn:valueState="default"/> + <property xil_pn:name="Automatically Run Generate Target PROM/ACE File" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="BPI Reads Per Page" xil_pn:value="1" xil_pn:valueState="default"/> + <property xil_pn:name="BPI Sync Mode" xil_pn:value="Disable" xil_pn:valueState="default"/> + <property xil_pn:name="BRAM Utilization Ratio" xil_pn:value="100" xil_pn:valueState="default"/> + <property xil_pn:name="Bring Out Global Set/Reset Net as a Port" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Bring Out Global Tristate Net as a Port" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Bus Delimiter" xil_pn:value="<>" xil_pn:valueState="default"/> + <property xil_pn:name="Case" xil_pn:value="Maintain" xil_pn:valueState="default"/> + <property xil_pn:name="Case Implementation Style" xil_pn:value="None" xil_pn:valueState="default"/> + <property xil_pn:name="Change Device Speed To" xil_pn:value="-2" xil_pn:valueState="default"/> + <property xil_pn:name="Change Device Speed To Post Trace" xil_pn:value="-2" xil_pn:valueState="default"/> + <property xil_pn:name="Combinatorial Logic Optimization" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Compile EDK Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/> + <property xil_pn:name="Compile SIMPRIM (Timing) Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/> + <property xil_pn:name="Compile UNISIM (Functional) Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/> + <property xil_pn:name="Compile XilinxCoreLib (CORE Generator) Simulation Library" xil_pn:value="true" xil_pn:valueState="default"/> + <property xil_pn:name="Compile for HDL Debugging" xil_pn:value="true" xil_pn:valueState="default"/> + <property xil_pn:name="Configuration Clk (Configuration Pins)" xil_pn:value="Pull Up" xil_pn:valueState="default"/> + <property xil_pn:name="Configuration Pin Done" xil_pn:value="Pull Up" xil_pn:valueState="default"/> + <property xil_pn:name="Configuration Pin Init" xil_pn:value="Pull Up" xil_pn:valueState="default"/> + <property xil_pn:name="Configuration Pin M0" xil_pn:value="Pull Up" xil_pn:valueState="default"/> + <property xil_pn:name="Configuration Pin M1" xil_pn:value="Pull Up" xil_pn:valueState="default"/> + <property xil_pn:name="Configuration Pin M2" xil_pn:value="Pull Up" xil_pn:valueState="default"/> + <property xil_pn:name="Configuration Pin Program" xil_pn:value="Pull Up" xil_pn:valueState="default"/> + <property xil_pn:name="Configuration Rate spartan6" xil_pn:value="2" xil_pn:valueState="default"/> + <property xil_pn:name="Configuration Rate virtex5" xil_pn:value="3" xil_pn:valueState="default"/> + <property xil_pn:name="Correlate Output to Input Design" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Create ASCII Configuration File" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Create Binary Configuration File" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Create Bit File" xil_pn:value="true" xil_pn:valueState="default"/> + <property xil_pn:name="Create I/O Pads from Ports" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Create IEEE 1532 Configuration File" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Create IEEE 1532 Configuration File spartan6" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Create Logic Allocation File" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Create Mask File" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Create ReadBack Data Files" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Cross Clock Analysis" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Cycles for First BPI Page Read" xil_pn:value="1" xil_pn:valueState="default"/> + <property xil_pn:name="DCI Update Mode" xil_pn:value="As Required" xil_pn:valueState="default"/> + <property xil_pn:name="DSP Utilization Ratio" xil_pn:value="100" xil_pn:valueState="default"/> + <property xil_pn:name="Delay Values To Be Read from SDF" xil_pn:value="Setup Time" xil_pn:valueState="default"/> + <property xil_pn:name="Device" xil_pn:value="xc6slx45" xil_pn:valueState="non-default"/> + <property xil_pn:name="Device Family" xil_pn:value="Spartan6" xil_pn:valueState="non-default"/> + <property xil_pn:name="Device Speed Grade/Select ABS Minimum" xil_pn:value="-2" xil_pn:valueState="default"/> + <property xil_pn:name="Disable Detailed Package Model Insertion" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Disable JTAG Connection" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Do Not Escape Signal and Instance Names in Netlist" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Done (Output Events)" xil_pn:value="Default (4)" xil_pn:valueState="default"/> + <property xil_pn:name="Drive Awake Pin During Suspend/Wake Sequence spartan6" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Drive Done Pin High" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Enable BitStream Compression" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Enable Cyclic Redundancy Checking (CRC)" xil_pn:value="true" xil_pn:valueState="default"/> + <property xil_pn:name="Enable Cyclic Redundancy Checking (CRC) spartan6" xil_pn:value="true" xil_pn:valueState="default"/> + <property xil_pn:name="Enable Debugging of Serial Mode BitStream" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Enable External Master Clock" xil_pn:value="Disable" xil_pn:valueState="default"/> + <property xil_pn:name="Enable External Master Clock spartan6" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Enable Internal Done Pipe" xil_pn:value="true" xil_pn:valueState="default"/> + <property xil_pn:name="Enable Message Filtering" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Enable Multi-Pin Wake-Up Suspend Mode spartan6" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Enable Multi-Threading" xil_pn:value="Off" xil_pn:valueState="default"/> + <property xil_pn:name="Enable Multi-Threading par spartan6" xil_pn:value="Off" xil_pn:valueState="default"/> + <property xil_pn:name="Enable Multi-Threading par virtex5" xil_pn:value="Off" xil_pn:valueState="default"/> + <property xil_pn:name="Enable Outputs (Output Events)" xil_pn:value="Default (5)" xil_pn:valueState="default"/> + <property xil_pn:name="Enable Suspend/Wake Global Set/Reset spartan6" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Encrypt Bitstream spartan6" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Encrypt Bitstream virtex6" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Encrypt Key Select spartan6" xil_pn:value="BBRAM" xil_pn:valueState="default"/> + <property xil_pn:name="Encrypt Key Select virtex6" xil_pn:value="BBRAM" xil_pn:valueState="default"/> + <property xil_pn:name="Equivalent Register Removal Map" xil_pn:value="true" xil_pn:valueState="default"/> + <property xil_pn:name="Equivalent Register Removal XST" xil_pn:value="true" xil_pn:valueState="default"/> + <property xil_pn:name="Essential Bits" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Evaluation Development Board" xil_pn:value="None Specified" xil_pn:valueState="default"/> + <property xil_pn:name="Exclude Compilation of Deprecated EDK Cores" xil_pn:value="true" xil_pn:valueState="default"/> + <property xil_pn:name="Exclude Compilation of EDK Sub-Libraries" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Extra Cost Tables Map" xil_pn:value="0" xil_pn:valueState="default"/> + <property xil_pn:name="Extra Cost Tables Map virtex6" xil_pn:value="0" xil_pn:valueState="default"/> + <property xil_pn:name="Extra Effort (Highest PAR level only)" xil_pn:value="None" xil_pn:valueState="default"/> + <property xil_pn:name="FPGA Start-Up Clock" xil_pn:value="CCLK" xil_pn:valueState="default"/> + <property xil_pn:name="FSM Encoding Algorithm" xil_pn:value="Auto" xil_pn:valueState="default"/> + <property xil_pn:name="FSM Style" xil_pn:value="LUT" xil_pn:valueState="default"/> + <property xil_pn:name="Fallback Reconfiguration virtex7" xil_pn:value="Disable" xil_pn:valueState="default"/> + <property xil_pn:name="Filter Files From Compile Order" xil_pn:value="true" xil_pn:valueState="default"/> + <property xil_pn:name="Flatten Output Netlist" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Functional Model Target Language ArchWiz" xil_pn:value="Verilog" xil_pn:valueState="default"/> + <property xil_pn:name="Functional Model Target Language Coregen" xil_pn:value="Verilog" xil_pn:valueState="default"/> + <property xil_pn:name="Functional Model Target Language Schematic" xil_pn:value="Verilog" xil_pn:valueState="default"/> + <property xil_pn:name="GTS Cycle During Suspend/Wakeup Sequence spartan6" xil_pn:value="4" xil_pn:valueState="default"/> + <property xil_pn:name="GWE Cycle During Suspend/Wakeup Sequence spartan6" xil_pn:value="5" xil_pn:valueState="default"/> + <property xil_pn:name="Generate Architecture Only (No Entity Declaration)" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Generate Asynchronous Delay Report" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Generate Clock Region Report" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Generate Constraints Interaction Report" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Generate Constraints Interaction Report Post Trace" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Generate Datasheet Section" xil_pn:value="true" xil_pn:valueState="default"/> + <property xil_pn:name="Generate Datasheet Section Post Trace" xil_pn:value="true" xil_pn:valueState="default"/> + <property xil_pn:name="Generate Detailed MAP Report" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Generate Multiple Hierarchical Netlist Files" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Generate Post-Place & Route Power Report" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Generate Post-Place & Route Simulation Model" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Generate RTL Schematic" xil_pn:value="Yes" xil_pn:valueState="default"/> + <property xil_pn:name="Generate SAIF File for Power Optimization/Estimation Par" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Generate Testbench File" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Generate Timegroups Section" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Generate Timegroups Section Post Trace" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Generics, Parameters" xil_pn:value="" xil_pn:valueState="default"/> + <property xil_pn:name="Global Optimization Goal" xil_pn:value="AllClockNets" xil_pn:valueState="default"/> + <property xil_pn:name="Global Optimization map spartan6" xil_pn:value="Off" xil_pn:valueState="default"/> + <property xil_pn:name="Global Optimization map virtex5" xil_pn:value="Off" xil_pn:valueState="default"/> + <property xil_pn:name="Global Set/Reset Port Name" xil_pn:value="GSR_PORT" xil_pn:valueState="default"/> + <property xil_pn:name="Global Tristate Port Name" xil_pn:value="GTS_PORT" xil_pn:valueState="default"/> + <property xil_pn:name="HMAC Key (Hex String)" xil_pn:value="" xil_pn:valueState="default"/> + <property xil_pn:name="Hierarchy Separator" xil_pn:value="/" xil_pn:valueState="default"/> + <property xil_pn:name="ICAP Select" xil_pn:value="Auto" xil_pn:valueState="default"/> + <property xil_pn:name="ISim UUT Instance Name" xil_pn:value="UUT" xil_pn:valueState="default"/> + <property xil_pn:name="Ignore User Timing Constraints Map" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Ignore User Timing Constraints Par" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Implementation Stop View" xil_pn:value="Structural" xil_pn:valueState="non-default"/> <property xil_pn:name="Implementation Top" xil_pn:value="Architecture|multiaddSin|multiaddSin_a" xil_pn:valueState="non-default"/> <property xil_pn:name="Implementation Top File" xil_pn:value="multiaddSin.vhd" xil_pn:valueState="non-default"/> <property xil_pn:name="Implementation Top Instance Path" xil_pn:value="/multiaddSin" xil_pn:valueState="non-default"/> - <property xil_pn:name="Package" xil_pn:value="tqg144" xil_pn:valueState="default"/> + <property xil_pn:name="Include 'uselib Directive in Verilog File" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Include SIMPRIM Models in Verilog File" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Include UNISIM Models in Verilog File" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Include sdf_annotate task in Verilog File" xil_pn:value="true" xil_pn:valueState="default"/> + <property xil_pn:name="Incremental Compilation" xil_pn:value="true" xil_pn:valueState="default"/> + <property xil_pn:name="Insert Buffers to Prevent Pulse Swallowing" xil_pn:value="true" xil_pn:valueState="default"/> + <property xil_pn:name="Instantiation Template Target Language Xps" xil_pn:value="Verilog" xil_pn:valueState="default"/> + <property xil_pn:name="JTAG Pin TCK" xil_pn:value="Pull Up" xil_pn:valueState="default"/> + <property xil_pn:name="JTAG Pin TDI" xil_pn:value="Pull Up" xil_pn:valueState="default"/> + <property xil_pn:name="JTAG Pin TDO" xil_pn:value="Pull Up" xil_pn:valueState="default"/> + <property xil_pn:name="JTAG Pin TMS" xil_pn:value="Pull Up" xil_pn:valueState="default"/> + <property xil_pn:name="JTAG to XADC Connection" xil_pn:value="Enable" xil_pn:valueState="default"/> + <property xil_pn:name="Keep Hierarchy" xil_pn:value="No" xil_pn:valueState="default"/> + <property xil_pn:name="LUT Combining Map" xil_pn:value="Off" xil_pn:valueState="default"/> + <property xil_pn:name="LUT Combining Xst" xil_pn:value="Auto" xil_pn:valueState="default"/> + <property xil_pn:name="Language" xil_pn:value="VHDL" xil_pn:valueState="default"/> + <property xil_pn:name="Launch SDK after Export" xil_pn:value="true" xil_pn:valueState="default"/> + <property xil_pn:name="Library for Verilog Sources" xil_pn:value="" xil_pn:valueState="default"/> + <property xil_pn:name="Load glbl" xil_pn:value="true" xil_pn:valueState="default"/> + <property xil_pn:name="Manual Implementation Compile Order" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Map Slice Logic into Unused Block RAMs" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Mask Pins for Multi-Pin Wake-Up Suspend Mode spartan6" xil_pn:value="0x00" xil_pn:valueState="default"/> + <property xil_pn:name="Max Fanout" xil_pn:value="100000" xil_pn:valueState="default"/> + <property xil_pn:name="Maximum Compression" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Maximum Number of Lines in Report" xil_pn:value="1000" xil_pn:valueState="default"/> + <property xil_pn:name="Maximum Signal Name Length" xil_pn:value="20" xil_pn:valueState="default"/> + <property xil_pn:name="Move First Flip-Flop Stage" xil_pn:value="true" xil_pn:valueState="default"/> + <property xil_pn:name="Move Last Flip-Flop Stage" xil_pn:value="true" xil_pn:valueState="default"/> + <property xil_pn:name="MultiBoot: Insert IPROG CMD in the Bitfile spartan6" xil_pn:value="Enable" xil_pn:valueState="default"/> + <property xil_pn:name="MultiBoot: Insert IPROG CMD in the Bitfile virtex7" xil_pn:value="Enable" xil_pn:valueState="default"/> + <property xil_pn:name="MultiBoot: Next Configuration Mode spartan6" xil_pn:value="001" xil_pn:valueState="default"/> + <property xil_pn:name="MultiBoot: Starting Address for Golden Configuration spartan6" xil_pn:value="0x00000000" xil_pn:valueState="default"/> + <property xil_pn:name="MultiBoot: Starting Address for Next Configuration spartan6" xil_pn:value="0x00000000" xil_pn:valueState="default"/> + <property xil_pn:name="MultiBoot: Use New Mode for Next Configuration spartan6" xil_pn:value="true" xil_pn:valueState="default"/> + <property xil_pn:name="MultiBoot: User-Defined Register for Failsafe Scheme spartan6" xil_pn:value="0x0000" xil_pn:valueState="default"/> + <property xil_pn:name="Netlist Hierarchy" xil_pn:value="As Optimized" xil_pn:valueState="default"/> + <property xil_pn:name="Netlist Translation Type" xil_pn:value="Timestamp" xil_pn:valueState="default"/> + <property xil_pn:name="Number of Clock Buffers" xil_pn:value="16" xil_pn:valueState="default"/> + <property xil_pn:name="Number of Paths in Error/Verbose Report" xil_pn:value="3" xil_pn:valueState="default"/> + <property xil_pn:name="Number of Paths in Error/Verbose Report Post Trace" xil_pn:value="3" xil_pn:valueState="default"/> + <property xil_pn:name="Optimization Effort spartan6" xil_pn:value="Normal" xil_pn:valueState="default"/> + <property xil_pn:name="Optimization Effort virtex6" xil_pn:value="Normal" xil_pn:valueState="default"/> + <property xil_pn:name="Optimization Goal" xil_pn:value="Speed" xil_pn:valueState="default"/> + <property xil_pn:name="Optimize Instantiated Primitives" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Other Bitgen Command Line Options" xil_pn:value="" xil_pn:valueState="default"/> + <property xil_pn:name="Other Bitgen Command Line Options spartan6" xil_pn:value="" xil_pn:valueState="default"/> + <property xil_pn:name="Other Compiler Options" xil_pn:value="" xil_pn:valueState="default"/> + <property xil_pn:name="Other Compiler Options Map" xil_pn:value="" xil_pn:valueState="default"/> + <property xil_pn:name="Other Compiler Options Par" xil_pn:value="" xil_pn:valueState="default"/> + <property xil_pn:name="Other Compiler Options Translate" xil_pn:value="" xil_pn:valueState="default"/> + <property xil_pn:name="Other Compxlib Command Line Options" xil_pn:value="" xil_pn:valueState="default"/> + <property xil_pn:name="Other Map Command Line Options" xil_pn:value="" xil_pn:valueState="default"/> + <property xil_pn:name="Other NETGEN Command Line Options" xil_pn:value="" xil_pn:valueState="default"/> + <property xil_pn:name="Other Ngdbuild Command Line Options" xil_pn:value="" xil_pn:valueState="default"/> + <property xil_pn:name="Other Place & Route Command Line Options" xil_pn:value="" xil_pn:valueState="default"/> + <property xil_pn:name="Other Simulator Commands Behavioral" xil_pn:value="" xil_pn:valueState="default"/> + <property xil_pn:name="Other Simulator Commands Post-Map" xil_pn:value="" xil_pn:valueState="default"/> + <property xil_pn:name="Other Simulator Commands Post-Route" xil_pn:value="" xil_pn:valueState="default"/> + <property xil_pn:name="Other Simulator Commands Post-Translate" xil_pn:value="" xil_pn:valueState="default"/> + <property xil_pn:name="Other XPWR Command Line Options" xil_pn:value="" xil_pn:valueState="default"/> + <property xil_pn:name="Other XST Command Line Options" xil_pn:value="" xil_pn:valueState="default"/> + <property xil_pn:name="Output Extended Identifiers" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Output File Name" xil_pn:value="multiaddSin" xil_pn:valueState="default"/> + <property xil_pn:name="Overwrite Compiled Libraries" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Pack I/O Registers into IOBs" xil_pn:value="Auto" xil_pn:valueState="default"/> + <property xil_pn:name="Pack I/O Registers/Latches into IOBs" xil_pn:value="Off" xil_pn:valueState="default"/> + <property xil_pn:name="Package" xil_pn:value="csg324" xil_pn:valueState="non-default"/> + <property xil_pn:name="Perform Advanced Analysis" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Perform Advanced Analysis Post Trace" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Place & Route Effort Level (Overall)" xil_pn:value="High" xil_pn:valueState="default"/> + <property xil_pn:name="Place And Route Mode" xil_pn:value="Normal Place and Route" xil_pn:valueState="default"/> + <property xil_pn:name="Place MultiBoot Settings into Bitstream spartan6" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Place MultiBoot Settings into Bitstream virtex7" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Placer Effort Level Map" xil_pn:value="High" xil_pn:valueState="default"/> + <property xil_pn:name="Placer Extra Effort Map" xil_pn:value="None" xil_pn:valueState="default"/> + <property xil_pn:name="Port to be used" xil_pn:value="Auto - default" xil_pn:valueState="default"/> + <property xil_pn:name="Post Map Simulation Model Name" xil_pn:value="multiaddSin_map.v" xil_pn:valueState="default"/> + <property xil_pn:name="Post Place & Route Simulation Model Name" xil_pn:value="multiaddSin_timesim.v" xil_pn:valueState="default"/> + <property xil_pn:name="Post Synthesis Simulation Model Name" xil_pn:value="multiaddSin_synthesis.v" xil_pn:valueState="default"/> + <property xil_pn:name="Post Translate Simulation Model Name" xil_pn:value="multiaddSin_translate.v" xil_pn:valueState="default"/> + <property xil_pn:name="Power Down Device if Over Safe Temperature" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Power Reduction Map spartan6" xil_pn:value="Off" xil_pn:valueState="default"/> + <property xil_pn:name="Power Reduction Map virtex6" xil_pn:value="Off" xil_pn:valueState="default"/> + <property xil_pn:name="Power Reduction Par" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Power Reduction Xst" xil_pn:value="false" xil_pn:valueState="default"/> <property xil_pn:name="Preferred Language" xil_pn:value="Verilog" xil_pn:valueState="default"/> + <property xil_pn:name="Produce Verbose Report" xil_pn:value="false" xil_pn:valueState="default"/> <property xil_pn:name="Project Generator" xil_pn:value="CoreGen" xil_pn:valueState="non-default"/> <property xil_pn:name="Property Specification in Project File" xil_pn:value="Store all values" xil_pn:valueState="default"/> + <property xil_pn:name="RAM Extraction" xil_pn:value="true" xil_pn:valueState="default"/> + <property xil_pn:name="RAM Style" xil_pn:value="Auto" xil_pn:valueState="default"/> + <property xil_pn:name="ROM Extraction" xil_pn:value="true" xil_pn:valueState="default"/> + <property xil_pn:name="ROM Style" xil_pn:value="Auto" xil_pn:valueState="default"/> + <property xil_pn:name="Read Cores" xil_pn:value="true" xil_pn:valueState="default"/> + <property xil_pn:name="Reduce Control Sets" xil_pn:value="Auto" xil_pn:valueState="default"/> + <property xil_pn:name="Regenerate Core" xil_pn:value="Under Current Project Setting" xil_pn:valueState="default"/> + <property xil_pn:name="Register Balancing" xil_pn:value="No" xil_pn:valueState="default"/> + <property xil_pn:name="Register Duplication Map" xil_pn:value="Off" xil_pn:valueState="default"/> + <property xil_pn:name="Register Duplication Xst" xil_pn:value="true" xil_pn:valueState="default"/> + <property xil_pn:name="Register Ordering spartan6" xil_pn:value="4" xil_pn:valueState="default"/> + <property xil_pn:name="Register Ordering virtex6" xil_pn:value="4" xil_pn:valueState="default"/> + <property xil_pn:name="Release Write Enable (Output Events)" xil_pn:value="Default (6)" xil_pn:valueState="default"/> + <property xil_pn:name="Rename Design Instance in Testbench File to" xil_pn:value="UUT" xil_pn:valueState="default"/> + <property xil_pn:name="Rename Top Level Architecture To" xil_pn:value="Structure" xil_pn:valueState="default"/> + <property xil_pn:name="Rename Top Level Entity to" xil_pn:value="" xil_pn:valueState="default"/> + <property xil_pn:name="Rename Top Level Module To" xil_pn:value="" xil_pn:valueState="default"/> + <property xil_pn:name="Report Fastest Path(s) in Each Constraint" xil_pn:value="true" xil_pn:valueState="default"/> + <property xil_pn:name="Report Fastest Path(s) in Each Constraint Post Trace" xil_pn:value="true" xil_pn:valueState="default"/> + <property xil_pn:name="Report Paths by Endpoint" xil_pn:value="3" xil_pn:valueState="default"/> + <property xil_pn:name="Report Paths by Endpoint Post Trace" xil_pn:value="3" xil_pn:valueState="default"/> + <property xil_pn:name="Report Type" xil_pn:value="Verbose Report" xil_pn:valueState="default"/> + <property xil_pn:name="Report Type Post Trace" xil_pn:value="Verbose Report" xil_pn:valueState="default"/> + <property xil_pn:name="Report Unconstrained Paths" xil_pn:value="" xil_pn:valueState="default"/> + <property xil_pn:name="Report Unconstrained Paths Post Trace" xil_pn:value="" xil_pn:valueState="default"/> + <property xil_pn:name="Reset On Configuration Pulse Width" xil_pn:value="100" xil_pn:valueState="default"/> + <property xil_pn:name="Resource Sharing" xil_pn:value="true" xil_pn:valueState="default"/> + <property xil_pn:name="Retain Hierarchy" xil_pn:value="true" xil_pn:valueState="default"/> + <property xil_pn:name="Retry Configuration if CRC Error Occurs spartan6" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Revision Select" xil_pn:value="00" xil_pn:valueState="default"/> + <property xil_pn:name="Revision Select Tristate" xil_pn:value="Disable" xil_pn:valueState="default"/> + <property xil_pn:name="Run Design Rules Checker (DRC)" xil_pn:value="true" xil_pn:valueState="default"/> + <property xil_pn:name="Run for Specified Time" xil_pn:value="true" xil_pn:valueState="default"/> + <property xil_pn:name="Run for Specified Time Map" xil_pn:value="true" xil_pn:valueState="default"/> + <property xil_pn:name="Run for Specified Time Par" xil_pn:value="true" xil_pn:valueState="default"/> + <property xil_pn:name="Run for Specified Time Translate" xil_pn:value="true" xil_pn:valueState="default"/> + <property xil_pn:name="SPI 32-bit Addressing" xil_pn:value="No" xil_pn:valueState="default"/> + <property xil_pn:name="Safe Implementation" xil_pn:value="No" xil_pn:valueState="default"/> + <property xil_pn:name="Security" xil_pn:value="Enable Readback and Reconfiguration" xil_pn:valueState="default"/> + <property xil_pn:name="Selected Simulation Root Source Node Behavioral" xil_pn:value="" xil_pn:valueState="default"/> + <property xil_pn:name="Selected Simulation Root Source Node Post-Map" xil_pn:value="" xil_pn:valueState="default"/> + <property xil_pn:name="Selected Simulation Root Source Node Post-Route" xil_pn:value="" xil_pn:valueState="default"/> + <property xil_pn:name="Selected Simulation Root Source Node Post-Translate" xil_pn:value="" xil_pn:valueState="default"/> + <property xil_pn:name="Selected Simulation Source Node" xil_pn:value="UUT" xil_pn:valueState="default"/> + <property xil_pn:name="Set SPI Configuration Bus Width" xil_pn:value="1" xil_pn:valueState="default"/> + <property xil_pn:name="Set SPI Configuration Bus Width spartan6" xil_pn:value="1" xil_pn:valueState="default"/> + <property xil_pn:name="Setup External Master Clock Division spartan6" xil_pn:value="1" xil_pn:valueState="default"/> + <property xil_pn:name="Shift Register Extraction" xil_pn:value="true" xil_pn:valueState="default"/> + <property xil_pn:name="Shift Register Minimum Size spartan6" xil_pn:value="2" xil_pn:valueState="default"/> + <property xil_pn:name="Shift Register Minimum Size virtex6" xil_pn:value="2" xil_pn:valueState="default"/> + <property xil_pn:name="Show All Models" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Simulation Model Target" xil_pn:value="Verilog" xil_pn:valueState="default"/> + <property xil_pn:name="Simulation Run Time ISim" xil_pn:value="1000 ns" xil_pn:valueState="default"/> + <property xil_pn:name="Simulation Run Time Map" xil_pn:value="1000 ns" xil_pn:valueState="default"/> + <property xil_pn:name="Simulation Run Time Par" xil_pn:value="1000 ns" xil_pn:valueState="default"/> + <property xil_pn:name="Simulation Run Time Translate" xil_pn:value="1000 ns" xil_pn:valueState="default"/> <property xil_pn:name="Simulator" xil_pn:value="ISim (VHDL/Verilog)" xil_pn:valueState="default"/> - <property xil_pn:name="Speed Grade" xil_pn:value="-1L" xil_pn:valueState="default"/> + <property xil_pn:name="Slice Utilization Ratio" xil_pn:value="100" xil_pn:valueState="default"/> + <property xil_pn:name="Specify 'define Macro Name and Value" xil_pn:value="" xil_pn:valueState="default"/> + <property xil_pn:name="Specify Top Level Instance Names Behavioral" xil_pn:value="Default" xil_pn:valueState="default"/> + <property xil_pn:name="Specify Top Level Instance Names Post-Map" xil_pn:value="Default" xil_pn:valueState="default"/> + <property xil_pn:name="Specify Top Level Instance Names Post-Route" xil_pn:value="Default" xil_pn:valueState="default"/> + <property xil_pn:name="Specify Top Level Instance Names Post-Translate" xil_pn:value="Default" xil_pn:valueState="default"/> + <property xil_pn:name="Speed Grade" xil_pn:value="-2" xil_pn:valueState="non-default"/> + <property xil_pn:name="Starting Address for Fallback Configuration virtex7" xil_pn:value="None" xil_pn:valueState="default"/> + <property xil_pn:name="Starting Placer Cost Table (1-100)" xil_pn:value="1" xil_pn:valueState="default"/> + <property xil_pn:name="Starting Placer Cost Table (1-100) Map spartan6" xil_pn:value="1" xil_pn:valueState="default"/> <property xil_pn:name="Synthesis Tool" xil_pn:value="XST (VHDL/Verilog)" xil_pn:valueState="default"/> + <property xil_pn:name="Target Simulator" xil_pn:value="Please Specify" xil_pn:valueState="default"/> + <property xil_pn:name="Timing Mode Map" xil_pn:value="Performance Evaluation" xil_pn:valueState="default"/> + <property xil_pn:name="Timing Mode Par" xil_pn:value="Performance Evaluation" xil_pn:valueState="default"/> + <property xil_pn:name="Top-Level Module Name in Output Netlist" xil_pn:value="" xil_pn:valueState="default"/> <property xil_pn:name="Top-Level Source Type" xil_pn:value="HDL" xil_pn:valueState="default"/> - <property xil_pn:name="Working Directory" xil_pn:value="." xil_pn:valueState="non-default"/> + <property xil_pn:name="Trim Unconnected Signals" xil_pn:value="true" xil_pn:valueState="default"/> + <property xil_pn:name="Tristate On Configuration Pulse Width" xil_pn:value="0" xil_pn:valueState="default"/> + <property xil_pn:name="Unused IOB Pins" xil_pn:value="Pull Down" xil_pn:valueState="default"/> + <property xil_pn:name="Use 64-bit PlanAhead on 64-bit Systems" xil_pn:value="true" xil_pn:valueState="default"/> + <property xil_pn:name="Use Clock Enable" xil_pn:value="Auto" xil_pn:valueState="default"/> + <property xil_pn:name="Use Custom Project File Behavioral" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Use Custom Project File Post-Map" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Use Custom Project File Post-Route" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Use Custom Project File Post-Translate" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Use Custom Simulation Command File Behavioral" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Use Custom Simulation Command File Map" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Use Custom Simulation Command File Par" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Use Custom Simulation Command File Translate" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Use Custom Waveform Configuration File Behav" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Use Custom Waveform Configuration File Map" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Use Custom Waveform Configuration File Par" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Use Custom Waveform Configuration File Translate" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Use DSP Block" xil_pn:value="Auto" xil_pn:valueState="default"/> + <property xil_pn:name="Use DSP Block spartan6" xil_pn:value="Auto" xil_pn:valueState="default"/> + <property xil_pn:name="Use LOC Constraints" xil_pn:value="true" xil_pn:valueState="default"/> + <property xil_pn:name="Use RLOC Constraints" xil_pn:value="Yes" xil_pn:valueState="default"/> + <property xil_pn:name="Use SPI Falling Edge" xil_pn:value="No" xil_pn:valueState="default"/> + <property xil_pn:name="Use Smart Guide" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Use Synchronous Reset" xil_pn:value="Auto" xil_pn:valueState="default"/> + <property xil_pn:name="Use Synchronous Set" xil_pn:value="Auto" xil_pn:valueState="default"/> + <property xil_pn:name="Use Synthesis Constraints File" xil_pn:value="true" xil_pn:valueState="default"/> + <property xil_pn:name="User Access Register Value" xil_pn:value="None" xil_pn:valueState="default"/> + <property xil_pn:name="UserID Code (8 Digit Hexadecimal)" xil_pn:value="0xFFFFFFFF" xil_pn:valueState="default"/> + <property xil_pn:name="VCCAUX Voltage Level spartan6" xil_pn:value="2.5V" xil_pn:valueState="default"/> + <property xil_pn:name="VHDL Source Analysis Standard" xil_pn:value="VHDL-93" xil_pn:valueState="default"/> + <property xil_pn:name="Value Range Check" xil_pn:value="false" xil_pn:valueState="default"/> + <property xil_pn:name="Verilog Macros" xil_pn:value="" xil_pn:valueState="default"/> + <property xil_pn:name="Wait for DCI Match (Output Events) virtex5" xil_pn:value="Auto" xil_pn:valueState="default"/> + <property xil_pn:name="Wait for DCM and PLL Lock (Output Events) spartan6" xil_pn:value="Default (NoWait)" xil_pn:valueState="default"/> + <property xil_pn:name="Wait for PLL Lock (Output Events) virtex6" xil_pn:value="No Wait" xil_pn:valueState="default"/> + <property xil_pn:name="Wakeup Clock spartan6" xil_pn:value="Startup Clock" xil_pn:valueState="default"/> + <property xil_pn:name="Watchdog Timer Mode 7-series" xil_pn:value="Off" xil_pn:valueState="default"/> + <property xil_pn:name="Watchdog Timer Value 7-series" xil_pn:value="0x00000000" xil_pn:valueState="default"/> + <property xil_pn:name="Watchdog Timer Value spartan6" xil_pn:value="0xFFFF" xil_pn:valueState="default"/> + <property xil_pn:name="Working Directory" xil_pn:value="." xil_pn:valueState="default"/> + <property xil_pn:name="Write Timing Constraints" xil_pn:value="false" xil_pn:valueState="default"/> <!-- --> <!-- The following properties are for internal use only. These should not be modified.--> <!-- --> + <property xil_pn:name="PROP_BehavioralSimTop" xil_pn:value="" xil_pn:valueState="default"/> <property xil_pn:name="PROP_DesignName" xil_pn:value="multiaddSin" xil_pn:valueState="non-default"/> - <property xil_pn:name="PROP_DevFamilyPMName" xil_pn:value="spartan6l" xil_pn:valueState="default"/> - <property xil_pn:name="PROP_intProjectCreationTimestamp" xil_pn:value="2024-07-05T00:09:56" xil_pn:valueState="non-default"/> - <property xil_pn:name="PROP_intWbtProjectID" xil_pn:value="824AADC4226370E9AB9B9395717733BE" xil_pn:valueState="non-default"/> + <property xil_pn:name="PROP_DevFamilyPMName" xil_pn:value="spartan6" xil_pn:valueState="default"/> + <property xil_pn:name="PROP_FPGAConfiguration" xil_pn:value="FPGAConfiguration" xil_pn:valueState="default"/> + <property xil_pn:name="PROP_PostMapSimTop" xil_pn:value="" xil_pn:valueState="default"/> + <property xil_pn:name="PROP_PostParSimTop" xil_pn:value="" xil_pn:valueState="default"/> + <property xil_pn:name="PROP_PostSynthSimTop" xil_pn:value="" xil_pn:valueState="default"/> + <property xil_pn:name="PROP_PostXlateSimTop" xil_pn:value="" xil_pn:valueState="default"/> + <property xil_pn:name="PROP_PreSynthesis" xil_pn:value="PreSynthesis" xil_pn:valueState="default"/> + <property xil_pn:name="PROP_intProjectCreationTimestamp" xil_pn:value="2024-06-29T02:24:10" xil_pn:valueState="non-default"/> + <property xil_pn:name="PROP_intWbtProjectID" xil_pn:value="E84A71DDB4A714D3E4034AEEEA7A158D" xil_pn:valueState="non-default"/> <property xil_pn:name="PROP_intWorkingDirLocWRTProjDir" xil_pn:value="Same" xil_pn:valueState="non-default"/> <property xil_pn:name="PROP_intWorkingDirUsed" xil_pn:value="No" xil_pn:valueState="non-default"/> </properties> diff --git a/stitch_project/stitch/ipcore_dir/tmp/_xmsgs/pn_parser.xmsgs b/stitch_project/stitch/ipcore_dir/tmp/_xmsgs/pn_parser.xmsgs index 6ec9ab88c9c8851cba18f30467ce518f60b3d93c..a1ce5dd246b5fa5dedfb1a17614f3a7cb657aeb0 100644 --- a/stitch_project/stitch/ipcore_dir/tmp/_xmsgs/pn_parser.xmsgs +++ b/stitch_project/stitch/ipcore_dir/tmp/_xmsgs/pn_parser.xmsgs @@ -8,7 +8,7 @@ <!-- Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. --> <messages> -<msg type="info" file="ProjectMgmt" num="1061" ><arg fmt="%s" index="1">Parsing VHDL file "/home/ise/iseprojects/stitch/stitch_project/stitch/ipcore_dir/MemNsamp.vhd" into library work</arg> +<msg type="info" file="ProjectMgmt" num="1061" ><arg fmt="%s" index="1">Parsing VHDL file "/home/ise/iseprojects/stitch/stitch_project/stitch/ipcore_dir/multiaddSin.vhd" into library work</arg> </msg> </messages> diff --git a/stitch_project/stitch/ipcore_dir/tmp/_xmsgs/xst.xmsgs b/stitch_project/stitch/ipcore_dir/tmp/_xmsgs/xst.xmsgs index c6ea700e9d4e38671dbc4d7a218569ae01903eaf..0319b8bd0213e2023d2d528b853e6b024f680fe7 100644 --- a/stitch_project/stitch/ipcore_dir/tmp/_xmsgs/xst.xmsgs +++ b/stitch_project/stitch/ipcore_dir/tmp/_xmsgs/xst.xmsgs @@ -5,367 +5,754 @@ behavior or data corruption. It is strongly advised that users do not edit the contents of this file. --> <messages> -<msg type="warning" file="UtilitiesC" num="159" delta="old" >Message file "<arg fmt="%s" index="1">usenglish/ip.msg</arg>" wasn't found. +<msg type="warning" file="HDLCompiler" num="321" delta="old" >"/home/ise/iseprojects/stitch/stitch_project/stitch/ipcore_dir/tmp/_cg/_dbg/xbip_utils_v2_0/bip_utils_pkg_v2_0.vhd" Line 1836: Comparison between arrays of unequal length always returns <arg fmt="%s" index="1">FALSE</arg>. </msg> -<msg type="info" file="ip" num="0" delta="new" ><arg fmt="%d" index="1">0</arg>: (<arg fmt="%d" index="2">0</arg>,<arg fmt="%d" index="3">0</arg>) : <arg fmt="%d" index="4">18</arg>x<arg fmt="%d" index="5">1024</arg> u:<arg fmt="%d" index="6">18</arg> +<msg type="warning" file="HDLCompiler" num="321" delta="old" >"/home/ise/iseprojects/stitch/stitch_project/stitch/ipcore_dir/tmp/_cg/_dbg/xbip_utils_v2_0/bip_utils_pkg_v2_0.vhd" Line 1842: Comparison between arrays of unequal length always returns <arg fmt="%s" index="1">FALSE</arg>. </msg> -<msg type="info" file="ip" num="0" delta="new" ><arg fmt="%d" index="1">1</arg>: (<arg fmt="%d" index="2">18</arg>,<arg fmt="%d" index="3">0</arg>) : <arg fmt="%d" index="4">18</arg>x<arg fmt="%d" index="5">1024</arg> u:<arg fmt="%d" index="6">14</arg> +<msg type="warning" file="HDLCompiler" num="321" delta="old" >"/home/ise/iseprojects/stitch/stitch_project/stitch/ipcore_dir/tmp/_cg/_dbg/xbip_utils_v2_0/bip_utils_pkg_v2_0.vhd" Line 1848: Comparison between arrays of unequal length always returns <arg fmt="%s" index="1">FALSE</arg>. </msg> -<msg type="info" file="ip" num="0" delta="new" ><arg fmt="%d" index="1">0</arg>: (<arg fmt="%d" index="2">0</arg>,<arg fmt="%d" index="3">0</arg>) : <arg fmt="%d" index="4">18</arg>x<arg fmt="%d" index="5">1024</arg> u:<arg fmt="%d" index="6">18</arg> +<msg type="warning" file="HDLCompiler" num="321" delta="old" >"/home/ise/iseprojects/stitch/stitch_project/stitch/ipcore_dir/tmp/_cg/_dbg/xbip_utils_v2_0/bip_utils_pkg_v2_0.vhd" Line 1849: Comparison between arrays of unequal length always returns <arg fmt="%s" index="1">FALSE</arg>. </msg> -<msg type="info" file="ip" num="0" delta="new" ><arg fmt="%d" index="1">1</arg>: (<arg fmt="%d" index="2">18</arg>,<arg fmt="%d" index="3">0</arg>) : <arg fmt="%d" index="4">18</arg>x<arg fmt="%d" index="5">1024</arg> u:<arg fmt="%d" index="6">14</arg> +<msg type="warning" file="HDLCompiler" num="321" delta="old" >"/home/ise/iseprojects/stitch/stitch_project/stitch/ipcore_dir/tmp/_cg/_dbg/xbip_utils_v2_0/bip_utils_pkg_v2_0.vhd" Line 1850: Comparison between arrays of unequal length always returns <arg fmt="%s" index="1">FALSE</arg>. </msg> -<msg type="warning" file="HDLCompiler" num="321" delta="old" >"/home/ise/iseprojects/stitch/stitch_project/stitch/ipcore_dir/tmp/_cg/_dbg/blk_mem_gen_v7_3/blk_mem_input_block.vhd" Line 691: Comparison between arrays of unequal length always returns <arg fmt="%s" index="1">FALSE</arg>. +<msg type="warning" file="HDLCompiler" num="321" delta="old" >"/home/ise/iseprojects/stitch/stitch_project/stitch/ipcore_dir/tmp/_cg/_dbg/xbip_utils_v2_0/bip_utils_pkg_v2_0.vhd" Line 1851: Comparison between arrays of unequal length always returns <arg fmt="%s" index="1">FALSE</arg>. </msg> -<msg type="warning" file="HDLCompiler" num="321" delta="old" >"/home/ise/iseprojects/stitch/stitch_project/stitch/ipcore_dir/tmp/_cg/_dbg/blk_mem_gen_v7_3/blk_mem_input_block.vhd" Line 707: Comparison between arrays of unequal length always returns <arg fmt="%s" index="1">FALSE</arg>. +<msg type="warning" file="HDLCompiler" num="321" delta="old" >"/home/ise/iseprojects/stitch/stitch_project/stitch/ipcore_dir/tmp/_cg/_dbg/xbip_utils_v2_0/bip_utils_pkg_v2_0.vhd" Line 1852: Comparison between arrays of unequal length always returns <arg fmt="%s" index="1">FALSE</arg>. </msg> -<msg type="warning" file="HDLCompiler" num="746" delta="old" >"/home/ise/iseprojects/stitch/stitch_project/stitch/ipcore_dir/tmp/_cg/_dbg/blk_mem_gen_v7_3/blk_mem_gen_prim_width.vhd" Line 978: Range is empty (null range) +<msg type="warning" file="HDLCompiler" num="746" delta="old" >"/home/ise/iseprojects/stitch/stitch_project/stitch/ipcore_dir/tmp/_cg/_dbg/mult_gen_v11_0/mult_gen_pkg_v11_0.vhd" Line 2201: Range is empty (null range) </msg> -<msg type="warning" file="HDLCompiler" num="220" delta="old" >"/home/ise/iseprojects/stitch/stitch_project/stitch/ipcore_dir/tmp/_cg/_dbg/blk_mem_gen_v7_3/blk_mem_gen_prim_width.vhd" Line 978: Assignment ignored +<msg type="warning" file="HDLCompiler" num="634" delta="old" >"/home/ise/iseprojects/stitch/stitch_project/stitch/ipcore_dir/tmp/_cg/_dbg/mult_gen_v11_0/dsp.vhd" Line 2758: Net <<arg fmt="%s" index="1">c[0][1][47]</arg>> does not have a driver. </msg> -<msg type="warning" file="HDLCompiler" num="746" delta="old" >"/home/ise/iseprojects/stitch/stitch_project/stitch/ipcore_dir/tmp/_cg/_dbg/blk_mem_gen_v7_3/blk_mem_gen_prim_width.vhd" Line 979: Range is empty (null range) +<msg type="warning" file="HDLCompiler" num="634" delta="old" >"/home/ise/iseprojects/stitch/stitch_project/stitch/ipcore_dir/tmp/_cg/_dbg/mult_gen_v11_0/dsp.vhd" Line 2760: Net <<arg fmt="%s" index="1">cin[0][0]</arg>> does not have a driver. </msg> -<msg type="warning" file="HDLCompiler" num="220" delta="old" >"/home/ise/iseprojects/stitch/stitch_project/stitch/ipcore_dir/tmp/_cg/_dbg/blk_mem_gen_v7_3/blk_mem_gen_prim_width.vhd" Line 979: Assignment ignored +<msg type="warning" file="HDLCompiler" num="634" delta="old" >"/home/ise/iseprojects/stitch/stitch_project/stitch/ipcore_dir/tmp/_cg/_dbg/mult_gen_v11_0/dsp.vhd" Line 2762: Net <<arg fmt="%s" index="1">d[0][0][17]</arg>> does not have a driver. </msg> -<msg type="warning" file="HDLCompiler" num="634" delta="old" >"/home/ise/iseprojects/stitch/stitch_project/stitch/ipcore_dir/tmp/_cg/_dbg/blk_mem_gen_v7_3/blk_mem_gen_prim_wrapper_s6.vhd" Line 490: Net <<arg fmt="%s" index="1">douta_i[17]</arg>> does not have a driver. +<msg type="warning" file="HDLCompiler" num="634" delta="old" >"/home/ise/iseprojects/stitch/stitch_project/stitch/ipcore_dir/tmp/_cg/_dbg/mult_gen_v11_0/dsp.vhd" Line 5774: Net <<arg fmt="%s" index="1">use_DSP48a.appDSP48A[0].bppDSP48A[0].cec</arg>> does not have a driver. </msg> -<msg type="warning" file="HDLCompiler" num="634" delta="old" >"/home/ise/iseprojects/stitch/stitch_project/stitch/ipcore_dir/tmp/_cg/_dbg/blk_mem_gen_v7_3/blk_mem_gen_prim_width.vhd" Line 430: Net <<arg fmt="%s" index="1">dina_pad[17]</arg>> does not have a driver. +<msg type="warning" file="HDLCompiler" num="634" delta="old" >"/home/ise/iseprojects/stitch/stitch_project/stitch/ipcore_dir/tmp/_cg/_dbg/mult_gen_v11_0/dsp.vhd" Line 5775: Net <<arg fmt="%s" index="1">use_DSP48a.appDSP48A[0].bppDSP48A[0].ced</arg>> does not have a driver. </msg> -<msg type="warning" file="HDLCompiler" num="634" delta="old" >"/home/ise/iseprojects/stitch/stitch_project/stitch/ipcore_dir/tmp/_cg/_dbg/blk_mem_gen_v7_3/blk_mem_gen_prim_width.vhd" Line 434: Net <<arg fmt="%s" index="1">dinb_pad[17]</arg>> does not have a driver. +<msg type="warning" file="HDLCompiler" num="634" delta="old" >"/home/ise/iseprojects/stitch/stitch_project/stitch/ipcore_dir/tmp/_cg/_dbg/mult_gen_v11_0/dsp.vhd" Line 5778: Net <<arg fmt="%s" index="1">use_DSP48a.appDSP48A[0].bppDSP48A[0].ce_carryin</arg>> does not have a driver. </msg> -<msg type="warning" file="HDLCompiler" num="321" delta="old" >"/home/ise/iseprojects/stitch/stitch_project/stitch/ipcore_dir/tmp/_cg/_dbg/blk_mem_gen_v7_3/blk_mem_gen_generic_cstr.vhd" Line 1546: Comparison between arrays of unequal length always returns <arg fmt="%s" index="1">FALSE</arg>. +<msg type="warning" file="HDLCompiler" num="746" delta="old" >"/home/ise/iseprojects/stitch/stitch_project/stitch/ipcore_dir/tmp/_cg/_dbg/xbip_pipe_v2_0/xbip_pipe_v2_0_xst.vhd" Line 207: Range is empty (null range) </msg> -<msg type="warning" file="HDLCompiler" num="321" delta="old" >"/home/ise/iseprojects/stitch/stitch_project/stitch/ipcore_dir/tmp/_cg/_dbg/blk_mem_gen_v7_3/blk_mem_gen_generic_cstr.vhd" Line 1559: Comparison between arrays of unequal length always returns <arg fmt="%s" index="1">FALSE</arg>. +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">fabric_piped_accum</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">b_ext<69></arg> and <arg fmt="%s" index="3">b_ext<60></arg> <arg fmt="%s" index="4">b_ext<60></arg> signal will be lost. </msg> -<msg type="info" file="Xst" num="3210" delta="new" >"<arg fmt="%s" index="1">/home/ise/iseprojects/stitch/stitch_project/stitch/ipcore_dir/tmp/_cg/_dbg/Mem32b1024.vhd</arg>" line <arg fmt="%s" index="2">155</arg>: Output port <<arg fmt="%s" index="3">douta</arg>> of the instance <<arg fmt="%s" index="4">U0</arg>> is unconnected or connected to loadless signal. +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">fabric_piped_accum</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">b_ext<69></arg> and <arg fmt="%s" index="3">b_ext<59></arg> <arg fmt="%s" index="4">b_ext<59></arg> signal will be lost. </msg> -<msg type="info" file="Xst" num="3210" delta="new" >"<arg fmt="%s" index="1">/home/ise/iseprojects/stitch/stitch_project/stitch/ipcore_dir/tmp/_cg/_dbg/Mem32b1024.vhd</arg>" line <arg fmt="%s" index="2">155</arg>: Output port <<arg fmt="%s" index="3">rdaddrecc</arg>> of the instance <<arg fmt="%s" index="4">U0</arg>> is unconnected or connected to loadless signal. +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">fabric_piped_accum</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">b_ext<69></arg> and <arg fmt="%s" index="3">b_ext_i<2><34></arg> <arg fmt="%s" index="4">b_ext_i<2><34></arg> signal will be lost. </msg> -<msg type="info" file="Xst" num="3210" delta="new" >"<arg fmt="%s" index="1">/home/ise/iseprojects/stitch/stitch_project/stitch/ipcore_dir/tmp/_cg/_dbg/Mem32b1024.vhd</arg>" line <arg fmt="%s" index="2">155</arg>: Output port <<arg fmt="%s" index="3">s_axi_bid</arg>> of the instance <<arg fmt="%s" index="4">U0</arg>> is unconnected or connected to loadless signal. +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">fabric_piped_accum</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">b_ext<69></arg> and <arg fmt="%s" index="3">b_ext_i<2><33></arg> <arg fmt="%s" index="4">b_ext_i<2><33></arg> signal will be lost. </msg> -<msg type="info" file="Xst" num="3210" delta="new" >"<arg fmt="%s" index="1">/home/ise/iseprojects/stitch/stitch_project/stitch/ipcore_dir/tmp/_cg/_dbg/Mem32b1024.vhd</arg>" line <arg fmt="%s" index="2">155</arg>: Output port <<arg fmt="%s" index="3">s_axi_bresp</arg>> of the instance <<arg fmt="%s" index="4">U0</arg>> is unconnected or connected to loadless signal. +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">fabric_piped_accum</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">b_ext<69></arg> and <arg fmt="%s" index="3">b_ext_i<2><32></arg> <arg fmt="%s" index="4">b_ext_i<2><32></arg> signal will be lost. </msg> -<msg type="info" file="Xst" num="3210" delta="new" >"<arg fmt="%s" index="1">/home/ise/iseprojects/stitch/stitch_project/stitch/ipcore_dir/tmp/_cg/_dbg/Mem32b1024.vhd</arg>" line <arg fmt="%s" index="2">155</arg>: Output port <<arg fmt="%s" index="3">s_axi_rid</arg>> of the instance <<arg fmt="%s" index="4">U0</arg>> is unconnected or connected to loadless signal. +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">fabric_piped_accum</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">b_ext<69></arg> and <arg fmt="%s" index="3">b_ext_i<2><31></arg> <arg fmt="%s" index="4">b_ext_i<2><31></arg> signal will be lost. </msg> -<msg type="info" file="Xst" num="3210" delta="new" >"<arg fmt="%s" index="1">/home/ise/iseprojects/stitch/stitch_project/stitch/ipcore_dir/tmp/_cg/_dbg/Mem32b1024.vhd</arg>" line <arg fmt="%s" index="2">155</arg>: Output port <<arg fmt="%s" index="3">s_axi_rdata</arg>> of the instance <<arg fmt="%s" index="4">U0</arg>> is unconnected or connected to loadless signal. +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">fabric_piped_accum</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">b_ext<69></arg> and <arg fmt="%s" index="3">b_ext_i<2><30></arg> <arg fmt="%s" index="4">b_ext_i<2><30></arg> signal will be lost. </msg> -<msg type="info" file="Xst" num="3210" delta="new" >"<arg fmt="%s" index="1">/home/ise/iseprojects/stitch/stitch_project/stitch/ipcore_dir/tmp/_cg/_dbg/Mem32b1024.vhd</arg>" line <arg fmt="%s" index="2">155</arg>: Output port <<arg fmt="%s" index="3">s_axi_rresp</arg>> of the instance <<arg fmt="%s" index="4">U0</arg>> is unconnected or connected to loadless signal. +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">fabric_piped_accum</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">b_ext<69></arg> and <arg fmt="%s" index="3">b_ext<58></arg> <arg fmt="%s" index="4">b_ext<58></arg> signal will be lost. </msg> -<msg type="info" file="Xst" num="3210" delta="new" >"<arg fmt="%s" index="1">/home/ise/iseprojects/stitch/stitch_project/stitch/ipcore_dir/tmp/_cg/_dbg/Mem32b1024.vhd</arg>" line <arg fmt="%s" index="2">155</arg>: Output port <<arg fmt="%s" index="3">s_axi_rdaddrecc</arg>> of the instance <<arg fmt="%s" index="4">U0</arg>> is unconnected or connected to loadless signal. +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">fabric_piped_accum</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">b_ext<69></arg> and <arg fmt="%s" index="3">b_ext_i<2><29></arg> <arg fmt="%s" index="4">b_ext_i<2><29></arg> signal will be lost. </msg> -<msg type="info" file="Xst" num="3210" delta="new" >"<arg fmt="%s" index="1">/home/ise/iseprojects/stitch/stitch_project/stitch/ipcore_dir/tmp/_cg/_dbg/Mem32b1024.vhd</arg>" line <arg fmt="%s" index="2">155</arg>: Output port <<arg fmt="%s" index="3">sbiterr</arg>> of the instance <<arg fmt="%s" index="4">U0</arg>> is unconnected or connected to loadless signal. +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">fabric_piped_accum</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">b_ext<69></arg> and <arg fmt="%s" index="3">b_ext_i<2><28></arg> <arg fmt="%s" index="4">b_ext_i<2><28></arg> signal will be lost. </msg> -<msg type="info" file="Xst" num="3210" delta="new" >"<arg fmt="%s" index="1">/home/ise/iseprojects/stitch/stitch_project/stitch/ipcore_dir/tmp/_cg/_dbg/Mem32b1024.vhd</arg>" line <arg fmt="%s" index="2">155</arg>: Output port <<arg fmt="%s" index="3">dbiterr</arg>> of the instance <<arg fmt="%s" index="4">U0</arg>> is unconnected or connected to loadless signal. +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">fabric_piped_accum</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">b_ext<69></arg> and <arg fmt="%s" index="3">b_ext_i<2><27></arg> <arg fmt="%s" index="4">b_ext_i<2><27></arg> signal will be lost. </msg> -<msg type="info" file="Xst" num="3210" delta="new" >"<arg fmt="%s" index="1">/home/ise/iseprojects/stitch/stitch_project/stitch/ipcore_dir/tmp/_cg/_dbg/Mem32b1024.vhd</arg>" line <arg fmt="%s" index="2">155</arg>: Output port <<arg fmt="%s" index="3">s_axi_awready</arg>> of the instance <<arg fmt="%s" index="4">U0</arg>> is unconnected or connected to loadless signal. +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">fabric_piped_accum</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">b_ext<69></arg> and <arg fmt="%s" index="3">b_ext_i<2><26></arg> <arg fmt="%s" index="4">b_ext_i<2><26></arg> signal will be lost. </msg> -<msg type="info" file="Xst" num="3210" delta="new" >"<arg fmt="%s" index="1">/home/ise/iseprojects/stitch/stitch_project/stitch/ipcore_dir/tmp/_cg/_dbg/Mem32b1024.vhd</arg>" line <arg fmt="%s" index="2">155</arg>: Output port <<arg fmt="%s" index="3">s_axi_wready</arg>> of the instance <<arg fmt="%s" index="4">U0</arg>> is unconnected or connected to loadless signal. +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">fabric_piped_accum</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">b_ext<69></arg> and <arg fmt="%s" index="3">b_ext_i<2><25></arg> <arg fmt="%s" index="4">b_ext_i<2><25></arg> signal will be lost. </msg> -<msg type="info" file="Xst" num="3210" delta="new" >"<arg fmt="%s" index="1">/home/ise/iseprojects/stitch/stitch_project/stitch/ipcore_dir/tmp/_cg/_dbg/Mem32b1024.vhd</arg>" line <arg fmt="%s" index="2">155</arg>: Output port <<arg fmt="%s" index="3">s_axi_bvalid</arg>> of the instance <<arg fmt="%s" index="4">U0</arg>> is unconnected or connected to loadless signal. +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">fabric_piped_accum</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">b_ext<69></arg> and <arg fmt="%s" index="3">b_ext_i<2><24></arg> <arg fmt="%s" index="4">b_ext_i<2><24></arg> signal will be lost. </msg> -<msg type="info" file="Xst" num="3210" delta="new" >"<arg fmt="%s" index="1">/home/ise/iseprojects/stitch/stitch_project/stitch/ipcore_dir/tmp/_cg/_dbg/Mem32b1024.vhd</arg>" line <arg fmt="%s" index="2">155</arg>: Output port <<arg fmt="%s" index="3">s_axi_arready</arg>> of the instance <<arg fmt="%s" index="4">U0</arg>> is unconnected or connected to loadless signal. +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">fabric_piped_accum</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">b_ext<69></arg> and <arg fmt="%s" index="3">b_ext_i<2><23></arg> <arg fmt="%s" index="4">b_ext_i<2><23></arg> signal will be lost. </msg> -<msg type="info" file="Xst" num="3210" delta="new" >"<arg fmt="%s" index="1">/home/ise/iseprojects/stitch/stitch_project/stitch/ipcore_dir/tmp/_cg/_dbg/Mem32b1024.vhd</arg>" line <arg fmt="%s" index="2">155</arg>: Output port <<arg fmt="%s" index="3">s_axi_rlast</arg>> of the instance <<arg fmt="%s" index="4">U0</arg>> is unconnected or connected to loadless signal. +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">fabric_piped_accum</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">b_ext<69></arg> and <arg fmt="%s" index="3">b_ext_i<2><22></arg> <arg fmt="%s" index="4">b_ext_i<2><22></arg> signal will be lost. </msg> -<msg type="info" file="Xst" num="3210" delta="new" >"<arg fmt="%s" index="1">/home/ise/iseprojects/stitch/stitch_project/stitch/ipcore_dir/tmp/_cg/_dbg/Mem32b1024.vhd</arg>" line <arg fmt="%s" index="2">155</arg>: Output port <<arg fmt="%s" index="3">s_axi_rvalid</arg>> of the instance <<arg fmt="%s" index="4">U0</arg>> is unconnected or connected to loadless signal. +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">fabric_piped_accum</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">b_ext<69></arg> and <arg fmt="%s" index="3">b_ext_i<2><21></arg> <arg fmt="%s" index="4">b_ext_i<2><21></arg> signal will be lost. </msg> -<msg type="info" file="Xst" num="3210" delta="new" >"<arg fmt="%s" index="1">/home/ise/iseprojects/stitch/stitch_project/stitch/ipcore_dir/tmp/_cg/_dbg/Mem32b1024.vhd</arg>" line <arg fmt="%s" index="2">155</arg>: Output port <<arg fmt="%s" index="3">s_axi_sbiterr</arg>> of the instance <<arg fmt="%s" index="4">U0</arg>> is unconnected or connected to loadless signal. +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">fabric_piped_accum</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">b_ext<69></arg> and <arg fmt="%s" index="3">b_ext_i<2><20></arg> <arg fmt="%s" index="4">b_ext_i<2><20></arg> signal will be lost. </msg> -<msg type="info" file="Xst" num="3210" delta="new" >"<arg fmt="%s" index="1">/home/ise/iseprojects/stitch/stitch_project/stitch/ipcore_dir/tmp/_cg/_dbg/Mem32b1024.vhd</arg>" line <arg fmt="%s" index="2">155</arg>: Output port <<arg fmt="%s" index="3">s_axi_dbiterr</arg>> of the instance <<arg fmt="%s" index="4">U0</arg>> is unconnected or connected to loadless signal. +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">fabric_piped_accum</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">b_ext<69></arg> and <arg fmt="%s" index="3">b_ext<57></arg> <arg fmt="%s" index="4">b_ext<57></arg> signal will be lost. </msg> -<msg type="warning" file="Xst" num="647" delta="old" >Input <<arg fmt="%s" index="1">S_AXI_AWID</arg>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">fabric_piped_accum</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">b_ext<69></arg> and <arg fmt="%s" index="3">b_ext_i<2><19></arg> <arg fmt="%s" index="4">b_ext_i<2><19></arg> signal will be lost. </msg> -<msg type="warning" file="Xst" num="647" delta="old" >Input <<arg fmt="%s" index="1">S_AXI_AWADDR</arg>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">fabric_piped_accum</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">b_ext<69></arg> and <arg fmt="%s" index="3">b_ext_i<2><18></arg> <arg fmt="%s" index="4">b_ext_i<2><18></arg> signal will be lost. </msg> -<msg type="warning" file="Xst" num="647" delta="old" >Input <<arg fmt="%s" index="1">S_AXI_AWLEN</arg>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">fabric_piped_accum</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">b_ext<69></arg> and <arg fmt="%s" index="3">b_ext_i<2><17></arg> <arg fmt="%s" index="4">b_ext_i<2><17></arg> signal will be lost. </msg> -<msg type="warning" file="Xst" num="647" delta="old" >Input <<arg fmt="%s" index="1">S_AXI_AWSIZE</arg>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">fabric_piped_accum</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">b_ext<69></arg> and <arg fmt="%s" index="3">b_ext_i<2><16></arg> <arg fmt="%s" index="4">b_ext_i<2><16></arg> signal will be lost. </msg> -<msg type="warning" file="Xst" num="647" delta="old" >Input <<arg fmt="%s" index="1">S_AXI_AWBURST</arg>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">fabric_piped_accum</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">b_ext<69></arg> and <arg fmt="%s" index="3">b_ext_i<2><15></arg> <arg fmt="%s" index="4">b_ext_i<2><15></arg> signal will be lost. </msg> -<msg type="warning" file="Xst" num="647" delta="old" >Input <<arg fmt="%s" index="1">S_AXI_WDATA</arg>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">fabric_piped_accum</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">b_ext<69></arg> and <arg fmt="%s" index="3">b_ext_i<2><14></arg> <arg fmt="%s" index="4">b_ext_i<2><14></arg> signal will be lost. </msg> -<msg type="warning" file="Xst" num="647" delta="old" >Input <<arg fmt="%s" index="1">S_AXI_WSTRB</arg>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">fabric_piped_accum</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">b_ext<69></arg> and <arg fmt="%s" index="3">b_ext_i<2><13></arg> <arg fmt="%s" index="4">b_ext_i<2><13></arg> signal will be lost. </msg> -<msg type="warning" file="Xst" num="647" delta="old" >Input <<arg fmt="%s" index="1">S_AXI_ARID</arg>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">fabric_piped_accum</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">b_ext<69></arg> and <arg fmt="%s" index="3">b_ext_i<2><12></arg> <arg fmt="%s" index="4">b_ext_i<2><12></arg> signal will be lost. </msg> -<msg type="warning" file="Xst" num="647" delta="old" >Input <<arg fmt="%s" index="1">S_AXI_ARADDR</arg>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">fabric_piped_accum</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">b_ext<69></arg> and <arg fmt="%s" index="3">b_ext_i<2><11></arg> <arg fmt="%s" index="4">b_ext_i<2><11></arg> signal will be lost. </msg> -<msg type="warning" file="Xst" num="647" delta="old" >Input <<arg fmt="%s" index="1">S_AXI_ARLEN</arg>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">fabric_piped_accum</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">b_ext<69></arg> and <arg fmt="%s" index="3">b_ext_i<2><10></arg> <arg fmt="%s" index="4">b_ext_i<2><10></arg> signal will be lost. </msg> -<msg type="warning" file="Xst" num="647" delta="old" >Input <<arg fmt="%s" index="1">S_AXI_ARSIZE</arg>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">fabric_piped_accum</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">b_ext<69></arg> and <arg fmt="%s" index="3">b_ext<56></arg> <arg fmt="%s" index="4">b_ext<56></arg> signal will be lost. </msg> -<msg type="warning" file="Xst" num="647" delta="old" >Input <<arg fmt="%s" index="1">S_AXI_ARBURST</arg>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">fabric_piped_accum</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">b_ext<69></arg> and <arg fmt="%s" index="3">b_ext_i<2><9></arg> <arg fmt="%s" index="4">b_ext_i<2><9></arg> signal will be lost. </msg> -<msg type="warning" file="Xst" num="647" delta="old" >Input <<arg fmt="%s" index="1">S_AClk</arg>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">fabric_piped_accum</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">b_ext<69></arg> and <arg fmt="%s" index="3">b_ext_i<2><8></arg> <arg fmt="%s" index="4">b_ext_i<2><8></arg> signal will be lost. </msg> -<msg type="warning" file="Xst" num="647" delta="old" >Input <<arg fmt="%s" index="1">S_ARESETN</arg>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">fabric_piped_accum</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">b_ext<69></arg> and <arg fmt="%s" index="3">b_ext_i<2><7>1</arg> <arg fmt="%s" index="4">b_ext_i<2><7>1</arg> signal will be lost. </msg> -<msg type="warning" file="Xst" num="647" delta="old" >Input <<arg fmt="%s" index="1">S_AXI_AWVALID</arg>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">fabric_piped_accum</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">b_ext<69></arg> and <arg fmt="%s" index="3">b_ext<55></arg> <arg fmt="%s" index="4">b_ext<55></arg> signal will be lost. </msg> -<msg type="warning" file="Xst" num="647" delta="old" >Input <<arg fmt="%s" index="1">S_AXI_WLAST</arg>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">fabric_piped_accum</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">b_ext<69></arg> and <arg fmt="%s" index="3">b_ext<54></arg> <arg fmt="%s" index="4">b_ext<54></arg> signal will be lost. </msg> -<msg type="warning" file="Xst" num="647" delta="old" >Input <<arg fmt="%s" index="1">S_AXI_WVALID</arg>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">fabric_piped_accum</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">b_ext<69></arg> and <arg fmt="%s" index="3">b_ext<53></arg> <arg fmt="%s" index="4">b_ext<53></arg> signal will be lost. </msg> -<msg type="warning" file="Xst" num="647" delta="old" >Input <<arg fmt="%s" index="1">S_AXI_BREADY</arg>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">fabric_piped_accum</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">b_ext<69></arg> and <arg fmt="%s" index="3">b_ext<52></arg> <arg fmt="%s" index="4">b_ext<52></arg> signal will be lost. </msg> -<msg type="warning" file="Xst" num="647" delta="old" >Input <<arg fmt="%s" index="1">S_AXI_ARVALID</arg>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">fabric_piped_accum</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">b_ext<69></arg> and <arg fmt="%s" index="3">b_ext<51></arg> <arg fmt="%s" index="4">b_ext<51></arg> signal will be lost. </msg> -<msg type="warning" file="Xst" num="647" delta="old" >Input <<arg fmt="%s" index="1">S_AXI_RREADY</arg>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">fabric_piped_accum</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">b_ext<69></arg> and <arg fmt="%s" index="3">b_ext<68></arg> <arg fmt="%s" index="4">b_ext<68></arg> signal will be lost. </msg> -<msg type="warning" file="Xst" num="647" delta="old" >Input <<arg fmt="%s" index="1">S_AXI_INJECTSBITERR</arg>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">fabric_piped_accum</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">b_ext<69></arg> and <arg fmt="%s" index="3">b_ext<50></arg> <arg fmt="%s" index="4">b_ext<50></arg> signal will be lost. </msg> -<msg type="warning" file="Xst" num="647" delta="old" >Input <<arg fmt="%s" index="1">S_AXI_INJECTDBITERR</arg>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">fabric_piped_accum</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">b_ext<69></arg> and <arg fmt="%s" index="3">b_ext<49></arg> <arg fmt="%s" index="4">b_ext<49></arg> signal will be lost. </msg> -<msg type="warning" file="Xst" num="2935" delta="old" >Signal '<arg fmt="%s" index="1">S_AXI_BID</arg>', unconnected in block '<arg fmt="%s" index="2">blk_mem_gen_v7_3_xst</arg>', is tied to its initial value (<arg fmt="%s" index="3">0000</arg>). +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">fabric_piped_accum</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">b_ext<69></arg> and <arg fmt="%s" index="3">b_ext<48></arg> <arg fmt="%s" index="4">b_ext<48></arg> signal will be lost. </msg> -<msg type="warning" file="Xst" num="653" delta="old" >Signal <<arg fmt="%s" index="1">S_AXI_BRESP</arg>> is used but never assigned. This sourceless signal will be automatically connected to value <arg fmt="%s" index="2">GND</arg>. +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">fabric_piped_accum</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">b_ext<69></arg> and <arg fmt="%s" index="3">b_ext<47></arg> <arg fmt="%s" index="4">b_ext<47></arg> signal will be lost. </msg> -<msg type="warning" file="Xst" num="2935" delta="old" >Signal '<arg fmt="%s" index="1">S_AXI_RID</arg>', unconnected in block '<arg fmt="%s" index="2">blk_mem_gen_v7_3_xst</arg>', is tied to its initial value (<arg fmt="%s" index="3">0000</arg>). +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">fabric_piped_accum</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">b_ext<69></arg> and <arg fmt="%s" index="3">b_ext<46></arg> <arg fmt="%s" index="4">b_ext<46></arg> signal will be lost. </msg> -<msg type="warning" file="Xst" num="653" delta="old" >Signal <<arg fmt="%s" index="1">S_AXI_RDATA</arg>> is used but never assigned. This sourceless signal will be automatically connected to value <arg fmt="%s" index="2">GND</arg>. +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">fabric_piped_accum</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">b_ext<69></arg> and <arg fmt="%s" index="3">b_ext<45></arg> <arg fmt="%s" index="4">b_ext<45></arg> signal will be lost. </msg> -<msg type="warning" file="Xst" num="653" delta="old" >Signal <<arg fmt="%s" index="1">S_AXI_RRESP</arg>> is used but never assigned. This sourceless signal will be automatically connected to value <arg fmt="%s" index="2">GND</arg>. +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">fabric_piped_accum</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">b_ext<69></arg> and <arg fmt="%s" index="3">b_ext<44></arg> <arg fmt="%s" index="4">b_ext<44></arg> signal will be lost. </msg> -<msg type="warning" file="Xst" num="653" delta="old" >Signal <<arg fmt="%s" index="1">S_AXI_RDADDRECC</arg>> is used but never assigned. This sourceless signal will be automatically connected to value <arg fmt="%s" index="2">GND</arg>. +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">fabric_piped_accum</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">b_ext<69></arg> and <arg fmt="%s" index="3">b_ext<43></arg> <arg fmt="%s" index="4">b_ext<43></arg> signal will be lost. </msg> -<msg type="warning" file="Xst" num="653" delta="old" >Signal <<arg fmt="%s" index="1">S_AXI_AWREADY</arg>> is used but never assigned. This sourceless signal will be automatically connected to value <arg fmt="%s" index="2">GND</arg>. +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">fabric_piped_accum</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">b_ext<69></arg> and <arg fmt="%s" index="3">b_ext<42></arg> <arg fmt="%s" index="4">b_ext<42></arg> signal will be lost. </msg> -<msg type="warning" file="Xst" num="653" delta="old" >Signal <<arg fmt="%s" index="1">S_AXI_WREADY</arg>> is used but never assigned. This sourceless signal will be automatically connected to value <arg fmt="%s" index="2">GND</arg>. +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">fabric_piped_accum</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">b_ext_i<2><6></arg> and <arg fmt="%s" index="3">b_ext<41></arg> <arg fmt="%s" index="4">b_ext<41></arg> signal will be lost. </msg> -<msg type="warning" file="Xst" num="653" delta="old" >Signal <<arg fmt="%s" index="1">S_AXI_BVALID</arg>> is used but never assigned. This sourceless signal will be automatically connected to value <arg fmt="%s" index="2">GND</arg>. +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">fabric_piped_accum</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">b_ext<69></arg> and <arg fmt="%s" index="3">b_ext<67></arg> <arg fmt="%s" index="4">b_ext<67></arg> signal will be lost. </msg> -<msg type="warning" file="Xst" num="653" delta="old" >Signal <<arg fmt="%s" index="1">S_AXI_ARREADY</arg>> is used but never assigned. This sourceless signal will be automatically connected to value <arg fmt="%s" index="2">GND</arg>. +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">fabric_piped_accum</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">b_ext_i<2><5></arg> and <arg fmt="%s" index="3">b_ext<40></arg> <arg fmt="%s" index="4">b_ext<40></arg> signal will be lost. </msg> -<msg type="warning" file="Xst" num="653" delta="old" >Signal <<arg fmt="%s" index="1">S_AXI_RLAST</arg>> is used but never assigned. This sourceless signal will be automatically connected to value <arg fmt="%s" index="2">GND</arg>. +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">fabric_piped_accum</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">b_ext_i<2><4></arg> and <arg fmt="%s" index="3">b_ext<39></arg> <arg fmt="%s" index="4">b_ext<39></arg> signal will be lost. </msg> -<msg type="warning" file="Xst" num="653" delta="old" >Signal <<arg fmt="%s" index="1">S_AXI_RVALID</arg>> is used but never assigned. This sourceless signal will be automatically connected to value <arg fmt="%s" index="2">GND</arg>. +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">fabric_piped_accum</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">b_ext_i<2><3></arg> and <arg fmt="%s" index="3">b_ext<38></arg> <arg fmt="%s" index="4">b_ext<38></arg> signal will be lost. </msg> -<msg type="warning" file="Xst" num="653" delta="old" >Signal <<arg fmt="%s" index="1">S_AXI_SBITERR</arg>> is used but never assigned. This sourceless signal will be automatically connected to value <arg fmt="%s" index="2">GND</arg>. +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">fabric_piped_accum</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">b_ext_i<2><2></arg> and <arg fmt="%s" index="3">b_ext<37></arg> <arg fmt="%s" index="4">b_ext<37></arg> signal will be lost. </msg> -<msg type="warning" file="Xst" num="653" delta="old" >Signal <<arg fmt="%s" index="1">S_AXI_DBITERR</arg>> is used but never assigned. This sourceless signal will be automatically connected to value <arg fmt="%s" index="2">GND</arg>. +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">fabric_piped_accum</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">b_ext_i<2><1></arg> and <arg fmt="%s" index="3">b_ext<36></arg> <arg fmt="%s" index="4">b_ext<36></arg> signal will be lost. </msg> -<msg type="warning" file="Xst" num="647" delta="old" >Input <<arg fmt="%s" index="1">WEB</arg>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">fabric_piped_accum</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">b_ext_i<2><0></arg> and <arg fmt="%s" index="3">b_ext<35></arg> <arg fmt="%s" index="4">b_ext<35></arg> signal will be lost. </msg> -<msg type="warning" file="Xst" num="647" delta="old" >Input <<arg fmt="%s" index="1">DINB</arg>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">fabric_piped_accum</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">b_ext<69></arg> and <arg fmt="%s" index="3">b_ext<66></arg> <arg fmt="%s" index="4">b_ext<66></arg> signal will be lost. </msg> -<msg type="warning" file="Xst" num="647" delta="old" >Input <<arg fmt="%s" index="1">RSTA</arg>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">fabric_piped_accum</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">b_ext<69></arg> and <arg fmt="%s" index="3">b_ext<65></arg> <arg fmt="%s" index="4">b_ext<65></arg> signal will be lost. </msg> -<msg type="warning" file="Xst" num="647" delta="old" >Input <<arg fmt="%s" index="1">ENA</arg>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">fabric_piped_accum</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">b_ext<69></arg> and <arg fmt="%s" index="3">b_ext<64></arg> <arg fmt="%s" index="4">b_ext<64></arg> signal will be lost. </msg> -<msg type="warning" file="Xst" num="647" delta="old" >Input <<arg fmt="%s" index="1">REGCEA</arg>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">fabric_piped_accum</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">b_ext_i<1><5></arg> and <arg fmt="%s" index="3">b_ext<5></arg> <arg fmt="%s" index="4">b_ext<5></arg> signal will be lost. </msg> -<msg type="warning" file="Xst" num="647" delta="old" >Input <<arg fmt="%s" index="1">RSTB</arg>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">fabric_piped_accum</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">b_ext_i<1><4></arg> and <arg fmt="%s" index="3">b_ext<4></arg> <arg fmt="%s" index="4">b_ext<4></arg> signal will be lost. </msg> -<msg type="warning" file="Xst" num="647" delta="old" >Input <<arg fmt="%s" index="1">ENB</arg>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">fabric_piped_accum</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">b_ext_i<1><3></arg> and <arg fmt="%s" index="3">b_ext<3></arg> <arg fmt="%s" index="4">b_ext<3></arg> signal will be lost. </msg> -<msg type="warning" file="Xst" num="647" delta="old" >Input <<arg fmt="%s" index="1">REGCEB</arg>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">fabric_piped_accum</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">b_ext_i<1><2></arg> and <arg fmt="%s" index="3">b_ext<2></arg> <arg fmt="%s" index="4">b_ext<2></arg> signal will be lost. </msg> -<msg type="warning" file="Xst" num="647" delta="old" >Input <<arg fmt="%s" index="1">INJECTDBITERR</arg>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">fabric_piped_accum</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">b_ext_i<1><1></arg> and <arg fmt="%s" index="3">b_ext<1></arg> <arg fmt="%s" index="4">b_ext<1></arg> signal will be lost. </msg> -<msg type="warning" file="Xst" num="647" delta="old" >Input <<arg fmt="%s" index="1">INJECTSBITERR</arg>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">fabric_piped_accum</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">b_ext<69></arg> and <arg fmt="%s" index="3">b_ext<63></arg> <arg fmt="%s" index="4">b_ext<63></arg> signal will be lost. </msg> -<msg type="warning" file="Xst" num="653" delta="old" >Signal <<arg fmt="%s" index="1">INJECTDBITERR_I</arg>> is used but never assigned. This sourceless signal will be automatically connected to value <arg fmt="%s" index="2">GND</arg>. +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">fabric_piped_accum</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">b_ext_i<1><0></arg> and <arg fmt="%s" index="3">b_ext<0></arg> <arg fmt="%s" index="4">b_ext<0></arg> signal will be lost. </msg> -<msg type="warning" file="Xst" num="653" delta="old" >Signal <<arg fmt="%s" index="1">INJECTSBITERR_I</arg>> is used but never assigned. This sourceless signal will be automatically connected to value <arg fmt="%s" index="2">GND</arg>. +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">fabric_piped_accum</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">b_ext<34></arg> and <arg fmt="%s" index="3">b_ext_i<1><34></arg> <arg fmt="%s" index="4">b_ext_i<1><34></arg> signal will be lost. </msg> -<msg type="warning" file="Xst" num="647" delta="old" >Input <<arg fmt="%s" index="1">REGCEA</arg>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">fabric_piped_accum</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">b_ext<33></arg> and <arg fmt="%s" index="3">b_ext_i<1><33></arg> <arg fmt="%s" index="4">b_ext_i<1><33></arg> signal will be lost. </msg> -<msg type="warning" file="Xst" num="647" delta="old" >Input <<arg fmt="%s" index="1">WEA<3:1></arg>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">fabric_piped_accum</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">b_ext<32></arg> and <arg fmt="%s" index="3">b_ext_i<1><32></arg> <arg fmt="%s" index="4">b_ext_i<1><32></arg> signal will be lost. </msg> -<msg type="warning" file="Xst" num="647" delta="old" >Input <<arg fmt="%s" index="1">REGCEB</arg>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">fabric_piped_accum</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">b_ext<31></arg> and <arg fmt="%s" index="3">b_ext_i<1><31></arg> <arg fmt="%s" index="4">b_ext_i<1><31></arg> signal will be lost. </msg> -<msg type="warning" file="Xst" num="647" delta="old" >Input <<arg fmt="%s" index="1">WEB<3:1></arg>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">fabric_piped_accum</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">b_ext<30></arg> and <arg fmt="%s" index="3">b_ext_i<1><30></arg> <arg fmt="%s" index="4">b_ext_i<1><30></arg> signal will be lost. </msg> -<msg type="warning" file="Xst" num="647" delta="old" >Input <<arg fmt="%s" index="1">INJECTSBITERR</arg>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">fabric_piped_accum</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">b_ext<29></arg> and <arg fmt="%s" index="3">b_ext_i<1><29></arg> <arg fmt="%s" index="4">b_ext_i<1><29></arg> signal will be lost. </msg> -<msg type="warning" file="Xst" num="647" delta="old" >Input <<arg fmt="%s" index="1">INJECTDBITERR</arg>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">fabric_piped_accum</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">b_ext<28></arg> and <arg fmt="%s" index="3">b_ext_i<1><28></arg> <arg fmt="%s" index="4">b_ext_i<1><28></arg> signal will be lost. </msg> -<msg type="info" file="Xst" num="3210" delta="old" >"<arg fmt="%s" index="1">/home/ise/iseprojects/stitch/stitch_project/stitch/ipcore_dir/tmp/_cg/_dbg/blk_mem_gen_v7_3/blk_mem_gen_generic_cstr.vhd</arg>" line <arg fmt="%s" index="2">1342</arg>: Output port <<arg fmt="%s" index="3">SBITERR</arg>> of the instance <<arg fmt="%s" index="4">ramloop[0].ram.r</arg>> is unconnected or connected to loadless signal. +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">fabric_piped_accum</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">b_ext<27></arg> and <arg fmt="%s" index="3">b_ext_i<1><27></arg> <arg fmt="%s" index="4">b_ext_i<1><27></arg> signal will be lost. </msg> -<msg type="info" file="Xst" num="3210" delta="old" >"<arg fmt="%s" index="1">/home/ise/iseprojects/stitch/stitch_project/stitch/ipcore_dir/tmp/_cg/_dbg/blk_mem_gen_v7_3/blk_mem_gen_generic_cstr.vhd</arg>" line <arg fmt="%s" index="2">1342</arg>: Output port <<arg fmt="%s" index="3">DBITERR</arg>> of the instance <<arg fmt="%s" index="4">ramloop[0].ram.r</arg>> is unconnected or connected to loadless signal. +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">fabric_piped_accum</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">b_ext<26></arg> and <arg fmt="%s" index="3">b_ext_i<1><26></arg> <arg fmt="%s" index="4">b_ext_i<1><26></arg> signal will be lost. </msg> -<msg type="info" file="Xst" num="3210" delta="old" >"<arg fmt="%s" index="1">/home/ise/iseprojects/stitch/stitch_project/stitch/ipcore_dir/tmp/_cg/_dbg/blk_mem_gen_v7_3/blk_mem_gen_generic_cstr.vhd</arg>" line <arg fmt="%s" index="2">1342</arg>: Output port <<arg fmt="%s" index="3">SBITERR</arg>> of the instance <<arg fmt="%s" index="4">ramloop[1].ram.r</arg>> is unconnected or connected to loadless signal. +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">fabric_piped_accum</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">b_ext<69></arg> and <arg fmt="%s" index="3">b_ext<62></arg> <arg fmt="%s" index="4">b_ext<62></arg> signal will be lost. </msg> -<msg type="info" file="Xst" num="3210" delta="old" >"<arg fmt="%s" index="1">/home/ise/iseprojects/stitch/stitch_project/stitch/ipcore_dir/tmp/_cg/_dbg/blk_mem_gen_v7_3/blk_mem_gen_generic_cstr.vhd</arg>" line <arg fmt="%s" index="2">1342</arg>: Output port <<arg fmt="%s" index="3">DBITERR</arg>> of the instance <<arg fmt="%s" index="4">ramloop[1].ram.r</arg>> is unconnected or connected to loadless signal. +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">fabric_piped_accum</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">b_ext<25></arg> and <arg fmt="%s" index="3">b_ext_i<1><25></arg> <arg fmt="%s" index="4">b_ext_i<1><25></arg> signal will be lost. </msg> -<msg type="warning" file="Xst" num="653" delta="old" >Signal <<arg fmt="%s" index="1">RDADDRECC</arg>> is used but never assigned. This sourceless signal will be automatically connected to value <arg fmt="%s" index="2">GND</arg>. +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">fabric_piped_accum</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">b_ext<24></arg> and <arg fmt="%s" index="3">b_ext_i<1><24></arg> <arg fmt="%s" index="4">b_ext_i<1><24></arg> signal will be lost. </msg> -<msg type="warning" file="Xst" num="653" delta="old" >Signal <<arg fmt="%s" index="1">SBITERR</arg>> is used but never assigned. This sourceless signal will be automatically connected to value <arg fmt="%s" index="2">GND</arg>. +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">fabric_piped_accum</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">b_ext<23></arg> and <arg fmt="%s" index="3">b_ext_i<1><23></arg> <arg fmt="%s" index="4">b_ext_i<1><23></arg> signal will be lost. </msg> -<msg type="warning" file="Xst" num="653" delta="old" >Signal <<arg fmt="%s" index="1">DBITERR</arg>> is used but never assigned. This sourceless signal will be automatically connected to value <arg fmt="%s" index="2">GND</arg>. +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">fabric_piped_accum</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">b_ext<22></arg> and <arg fmt="%s" index="3">b_ext_i<1><22></arg> <arg fmt="%s" index="4">b_ext_i<1><22></arg> signal will be lost. </msg> -<msg type="warning" file="Xst" num="647" delta="old" >Input <<arg fmt="%s" index="1">INJECTSBITERR</arg>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">fabric_piped_accum</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">b_ext<21></arg> and <arg fmt="%s" index="3">b_ext_i<1><21></arg> <arg fmt="%s" index="4">b_ext_i<1><21></arg> signal will be lost. </msg> -<msg type="warning" file="Xst" num="647" delta="old" >Input <<arg fmt="%s" index="1">INJECTDBITERR</arg>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">fabric_piped_accum</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">b_ext<20></arg> and <arg fmt="%s" index="3">b_ext_i<1><20></arg> <arg fmt="%s" index="4">b_ext_i<1><20></arg> signal will be lost. </msg> -<msg type="warning" file="Xst" num="653" delta="old" >Signal <<arg fmt="%s" index="1">SBITERR</arg>> is used but never assigned. This sourceless signal will be automatically connected to value <arg fmt="%s" index="2">GND</arg>. +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">fabric_piped_accum</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">b_ext<19></arg> and <arg fmt="%s" index="3">b_ext_i<1><19></arg> <arg fmt="%s" index="4">b_ext_i<1><19></arg> signal will be lost. </msg> -<msg type="warning" file="Xst" num="653" delta="old" >Signal <<arg fmt="%s" index="1">DBITERR</arg>> is used but never assigned. This sourceless signal will be automatically connected to value <arg fmt="%s" index="2">GND</arg>. +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">fabric_piped_accum</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">b_ext<18></arg> and <arg fmt="%s" index="3">b_ext_i<1><18></arg> <arg fmt="%s" index="4">b_ext_i<1><18></arg> signal will be lost. </msg> -<msg type="warning" file="Xst" num="647" delta="old" >Input <<arg fmt="%s" index="1">WEB</arg>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">fabric_piped_accum</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">b_ext<17></arg> and <arg fmt="%s" index="3">b_ext_i<1><17></arg> <arg fmt="%s" index="4">b_ext_i<1><17></arg> signal will be lost. </msg> -<msg type="warning" file="Xst" num="647" delta="old" >Input <<arg fmt="%s" index="1">DINB</arg>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">fabric_piped_accum</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">b_ext<16></arg> and <arg fmt="%s" index="3">b_ext_i<1><16></arg> <arg fmt="%s" index="4">b_ext_i<1><16></arg> signal will be lost. </msg> -<msg type="warning" file="Xst" num="2935" delta="old" >Signal '<arg fmt="%s" index="1">douta_i</arg>', unconnected in block '<arg fmt="%s" index="2">blk_mem_gen_prim_wrapper_s6_1</arg>', is tied to its initial value (<arg fmt="%s" index="3">000000000000000000</arg>). +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">fabric_piped_accum</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">b_ext<69></arg> and <arg fmt="%s" index="3">b_ext<61></arg> <arg fmt="%s" index="4">b_ext<61></arg> signal will be lost. </msg> -<msg type="warning" file="Xst" num="647" delta="old" >Input <<arg fmt="%s" index="1">INJECTSBITERR</arg>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">fabric_piped_accum</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">b_ext<15></arg> and <arg fmt="%s" index="3">b_ext_i<1><15></arg> <arg fmt="%s" index="4">b_ext_i<1><15></arg> signal will be lost. </msg> -<msg type="warning" file="Xst" num="647" delta="old" >Input <<arg fmt="%s" index="1">INJECTDBITERR</arg>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">fabric_piped_accum</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">b_ext<14></arg> and <arg fmt="%s" index="3">b_ext_i<1><14></arg> <arg fmt="%s" index="4">b_ext_i<1><14></arg> signal will be lost. </msg> -<msg type="warning" file="Xst" num="2935" delta="old" >Signal '<arg fmt="%s" index="1">dina_pad<17:16></arg>', unconnected in block '<arg fmt="%s" index="2">blk_mem_gen_prim_width_2</arg>', is tied to its initial value (<arg fmt="%s" index="3">00</arg>). +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">fabric_piped_accum</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">b_ext<13></arg> and <arg fmt="%s" index="3">b_ext_i<1><13></arg> <arg fmt="%s" index="4">b_ext_i<1><13></arg> signal will be lost. </msg> -<msg type="warning" file="Xst" num="2935" delta="old" >Signal '<arg fmt="%s" index="1">dina_pad<8:7></arg>', unconnected in block '<arg fmt="%s" index="2">blk_mem_gen_prim_width_2</arg>', is tied to its initial value (<arg fmt="%s" index="3">00</arg>). +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">fabric_piped_accum</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">b_ext<12></arg> and <arg fmt="%s" index="3">b_ext_i<1><12></arg> <arg fmt="%s" index="4">b_ext_i<1><12></arg> signal will be lost. </msg> -<msg type="warning" file="Xst" num="2935" delta="old" >Signal '<arg fmt="%s" index="1">dinb_pad<17:16></arg>', unconnected in block '<arg fmt="%s" index="2">blk_mem_gen_prim_width_2</arg>', is tied to its initial value (<arg fmt="%s" index="3">00</arg>). +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">fabric_piped_accum</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">b_ext<11></arg> and <arg fmt="%s" index="3">b_ext_i<1><11></arg> <arg fmt="%s" index="4">b_ext_i<1><11></arg> signal will be lost. </msg> -<msg type="warning" file="Xst" num="2935" delta="old" >Signal '<arg fmt="%s" index="1">dinb_pad<8:7></arg>', unconnected in block '<arg fmt="%s" index="2">blk_mem_gen_prim_width_2</arg>', is tied to its initial value (<arg fmt="%s" index="3">00</arg>). +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">fabric_piped_accum</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">b_ext<10></arg> and <arg fmt="%s" index="3">b_ext_i<1><10></arg> <arg fmt="%s" index="4">b_ext_i<1><10></arg> signal will be lost. </msg> -<msg type="warning" file="Xst" num="653" delta="old" >Signal <<arg fmt="%s" index="1">SBITERR</arg>> is used but never assigned. This sourceless signal will be automatically connected to value <arg fmt="%s" index="2">GND</arg>. +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">fabric_piped_accum</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">b_ext<9></arg> and <arg fmt="%s" index="3">b_ext_i<1><9></arg> <arg fmt="%s" index="4">b_ext_i<1><9></arg> signal will be lost. </msg> -<msg type="warning" file="Xst" num="653" delta="old" >Signal <<arg fmt="%s" index="1">DBITERR</arg>> is used but never assigned. This sourceless signal will be automatically connected to value <arg fmt="%s" index="2">GND</arg>. +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">fabric_piped_accum</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">b_ext<8></arg> and <arg fmt="%s" index="3">b_ext_i<1><8></arg> <arg fmt="%s" index="4">b_ext_i<1><8></arg> signal will be lost. </msg> -<msg type="warning" file="Xst" num="647" delta="old" >Input <<arg fmt="%s" index="1">WEB</arg>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">fabric_piped_accum</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">b_ext<7></arg> and <arg fmt="%s" index="3">b_ext_i<1><7></arg> <arg fmt="%s" index="4">b_ext_i<1><7></arg> signal will be lost. </msg> -<msg type="warning" file="Xst" num="647" delta="old" >Input <<arg fmt="%s" index="1">DINB</arg>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">fabric_piped_accum</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">b_ext<6></arg> and <arg fmt="%s" index="3">b_ext_i<1><6></arg> <arg fmt="%s" index="4">b_ext_i<1><6></arg> signal will be lost. </msg> -<msg type="warning" file="Xst" num="2935" delta="old" >Signal '<arg fmt="%s" index="1">douta_i</arg>', unconnected in block '<arg fmt="%s" index="2">blk_mem_gen_prim_wrapper_s6_2</arg>', is tied to its initial value (<arg fmt="%s" index="3">000000000000000000</arg>). +<msg type="info" file="Xst" num="1901" delta="old" >Instance <arg fmt="%s" index="1">use_DSP48a.appDSP48A[0].bppDSP48A[0].iDSP48A</arg> in unit <arg fmt="%s" index="2">dsp</arg> of type <arg fmt="%s" index="3">DSP48A</arg> has been replaced by <arg fmt="%s" index="4">DSP48A1</arg> </msg> -<msg type="warning" file="Xst" num="647" delta="old" >Input <<arg fmt="%s" index="1">DOUTA_I</arg>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. +<msg type="info" file="Xst" num="1901" delta="old" >Instance <arg fmt="%s" index="1">use_DSP48a.appDSP48A[0].bppDSP48A[1].iDSP48A</arg> in unit <arg fmt="%s" index="2">dsp</arg> of type <arg fmt="%s" index="3">DSP48A</arg> has been replaced by <arg fmt="%s" index="4">DSP48A1</arg> </msg> -<msg type="warning" file="Xst" num="647" delta="old" >Input <<arg fmt="%s" index="1">RDADDRECC_I</arg>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. +<msg type="info" file="Xst" num="1901" delta="old" >Instance <arg fmt="%s" index="1">use_DSP48a.appDSP48A[1].bppDSP48A[0].iDSP48A</arg> in unit <arg fmt="%s" index="2">dsp</arg> of type <arg fmt="%s" index="3">DSP48A</arg> has been replaced by <arg fmt="%s" index="4">DSP48A1</arg> </msg> -<msg type="warning" file="Xst" num="647" delta="old" >Input <<arg fmt="%s" index="1">CLKB</arg>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. +<msg type="info" file="Xst" num="1901" delta="old" >Instance <arg fmt="%s" index="1">use_DSP48a.appDSP48A[1].bppDSP48A[1].iDSP48A</arg> in unit <arg fmt="%s" index="2">dsp</arg> of type <arg fmt="%s" index="3">DSP48A</arg> has been replaced by <arg fmt="%s" index="4">DSP48A1</arg> </msg> -<msg type="warning" file="Xst" num="647" delta="old" >Input <<arg fmt="%s" index="1">SBITERR_I</arg>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. +<msg type="warning" file="Xst" num="2716" delta="old" >In unit <arg fmt="%s" index="1">U0/i_synth</arg>, both signals <arg fmt="%s" index="2">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/b_ext<34></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].b_seg<34></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].b_seg<34></arg> will be lost. </msg> -<msg type="warning" file="Xst" num="647" delta="old" >Input <<arg fmt="%s" index="1">DBITERR_I</arg>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. +<msg type="warning" file="Xst" num="2716" delta="old" >In unit <arg fmt="%s" index="1">U0/i_synth</arg>, both signals <arg fmt="%s" index="2">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/b_ext<33></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].b_seg<33></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].b_seg<33></arg> will be lost. </msg> -<msg type="warning" file="Xst" num="653" delta="old" >Signal <<arg fmt="%s" index="1">RDADDRECC</arg>> is used but never assigned. This sourceless signal will be automatically connected to value <arg fmt="%s" index="2">GND</arg>. +<msg type="warning" file="Xst" num="2716" delta="old" >In unit <arg fmt="%s" index="1">U0/i_synth</arg>, both signals <arg fmt="%s" index="2">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/b_ext<32></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].b_seg<32></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].b_seg<32></arg> will be lost. </msg> -<msg type="warning" file="Xst" num="653" delta="old" >Signal <<arg fmt="%s" index="1">SBITERR</arg>> is used but never assigned. This sourceless signal will be automatically connected to value <arg fmt="%s" index="2">GND</arg>. +<msg type="warning" file="Xst" num="2716" delta="old" >In unit <arg fmt="%s" index="1">U0/i_synth</arg>, both signals <arg fmt="%s" index="2">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/b_ext<31></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].b_seg<31></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].b_seg<31></arg> will be lost. </msg> -<msg type="warning" file="Xst" num="653" delta="old" >Signal <<arg fmt="%s" index="1">DBITERR</arg>> is used but never assigned. This sourceless signal will be automatically connected to value <arg fmt="%s" index="2">GND</arg>. +<msg type="warning" file="Xst" num="2716" delta="old" >In unit <arg fmt="%s" index="1">U0/i_synth</arg>, both signals <arg fmt="%s" index="2">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/b_ext<30></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].b_seg<30></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].b_seg<30></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="2716" delta="old" >In unit <arg fmt="%s" index="1">U0/i_synth</arg>, both signals <arg fmt="%s" index="2">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/b_ext<29></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].b_seg<29></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].b_seg<29></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="2716" delta="old" >In unit <arg fmt="%s" index="1">U0/i_synth</arg>, both signals <arg fmt="%s" index="2">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/b_ext<28></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].b_seg<28></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].b_seg<28></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="2716" delta="old" >In unit <arg fmt="%s" index="1">U0/i_synth</arg>, both signals <arg fmt="%s" index="2">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/b_ext<27></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].b_seg<27></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].b_seg<27></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="2716" delta="old" >In unit <arg fmt="%s" index="1">U0/i_synth</arg>, both signals <arg fmt="%s" index="2">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/b_ext<26></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].b_seg<26></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].b_seg<26></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="2716" delta="old" >In unit <arg fmt="%s" index="1">U0/i_synth</arg>, both signals <arg fmt="%s" index="2">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/b_ext<25></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].b_seg<25></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].b_seg<25></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="2716" delta="old" >In unit <arg fmt="%s" index="1">U0/i_synth</arg>, both signals <arg fmt="%s" index="2">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/b_ext<24></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].b_seg<24></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].b_seg<24></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="2716" delta="old" >In unit <arg fmt="%s" index="1">U0/i_synth</arg>, both signals <arg fmt="%s" index="2">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/b_ext<23></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].b_seg<23></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].b_seg<23></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="2716" delta="old" >In unit <arg fmt="%s" index="1">U0/i_synth</arg>, both signals <arg fmt="%s" index="2">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/b_ext<22></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].b_seg<22></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].b_seg<22></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="2716" delta="old" >In unit <arg fmt="%s" index="1">U0/i_synth</arg>, both signals <arg fmt="%s" index="2">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/b_ext<21></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].b_seg<21></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].b_seg<21></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="2716" delta="old" >In unit <arg fmt="%s" index="1">U0/i_synth</arg>, both signals <arg fmt="%s" index="2">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/b_ext<20></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].b_seg<20></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].b_seg<20></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="2716" delta="old" >In unit <arg fmt="%s" index="1">U0/i_synth</arg>, both signals <arg fmt="%s" index="2">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/b_ext<19></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].b_seg<19></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].b_seg<19></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="2716" delta="old" >In unit <arg fmt="%s" index="1">U0/i_synth</arg>, both signals <arg fmt="%s" index="2">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/b_ext<18></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].b_seg<18></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].b_seg<18></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="2716" delta="old" >In unit <arg fmt="%s" index="1">U0/i_synth</arg>, both signals <arg fmt="%s" index="2">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/b_ext<17></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].b_seg<17></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].b_seg<17></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="2716" delta="old" >In unit <arg fmt="%s" index="1">U0/i_synth</arg>, both signals <arg fmt="%s" index="2">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/b_ext<16></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].b_seg<16></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].b_seg<16></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="2716" delta="old" >In unit <arg fmt="%s" index="1">U0/i_synth</arg>, both signals <arg fmt="%s" index="2">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/b_ext<15></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].b_seg<15></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].b_seg<15></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="2716" delta="old" >In unit <arg fmt="%s" index="1">U0/i_synth</arg>, both signals <arg fmt="%s" index="2">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/b_ext<14></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].b_seg<14></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].b_seg<14></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="2716" delta="old" >In unit <arg fmt="%s" index="1">U0/i_synth</arg>, both signals <arg fmt="%s" index="2">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/b_ext<13></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].b_seg<13></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].b_seg<13></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="2716" delta="old" >In unit <arg fmt="%s" index="1">U0/i_synth</arg>, both signals <arg fmt="%s" index="2">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/b_ext<12></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].b_seg<12></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].b_seg<12></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="2716" delta="old" >In unit <arg fmt="%s" index="1">U0/i_synth</arg>, both signals <arg fmt="%s" index="2">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/b_ext<11></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].b_seg<11></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].b_seg<11></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="2716" delta="old" >In unit <arg fmt="%s" index="1">U0/i_synth</arg>, both signals <arg fmt="%s" index="2">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/b_ext<10></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].b_seg<10></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].b_seg<10></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="2716" delta="old" >In unit <arg fmt="%s" index="1">U0/i_synth</arg>, both signals <arg fmt="%s" index="2">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/b_ext<9></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].b_seg<9></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].b_seg<9></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="2716" delta="old" >In unit <arg fmt="%s" index="1">U0/i_synth</arg>, both signals <arg fmt="%s" index="2">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/b_ext<8></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].b_seg<8></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].b_seg<8></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="2716" delta="old" >In unit <arg fmt="%s" index="1">U0/i_synth</arg>, both signals <arg fmt="%s" index="2">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/b_ext<7></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].b_seg<7></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].b_seg<7></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="2716" delta="old" >In unit <arg fmt="%s" index="1">U0/i_synth</arg>, both signals <arg fmt="%s" index="2">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/b_ext<6></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].b_seg<6></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].b_seg<6></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="2716" delta="old" >In unit <arg fmt="%s" index="1">U0/i_synth</arg>, both signals <arg fmt="%s" index="2">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/b_ext_i<1><5></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].b_seg<5></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].b_seg<5></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="2716" delta="old" >In unit <arg fmt="%s" index="1">U0/i_synth</arg>, both signals <arg fmt="%s" index="2">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/b_ext_i<1><4></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].b_seg<4></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].b_seg<4></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="2716" delta="old" >In unit <arg fmt="%s" index="1">U0/i_synth</arg>, both signals <arg fmt="%s" index="2">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/b_ext_i<1><3></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].b_seg<3></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].b_seg<3></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="2716" delta="old" >In unit <arg fmt="%s" index="1">U0/i_synth</arg>, both signals <arg fmt="%s" index="2">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/b_ext_i<1><2></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].b_seg<2></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].b_seg<2></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="2716" delta="old" >In unit <arg fmt="%s" index="1">U0/i_synth</arg>, both signals <arg fmt="%s" index="2">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/b_ext_i<1><1></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].b_seg<1></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].b_seg<1></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="2716" delta="old" >In unit <arg fmt="%s" index="1">U0/i_synth</arg>, both signals <arg fmt="%s" index="2">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/b_ext_i<1><0></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].b_seg<0></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].b_seg<0></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="1303" delta="old" >From in and out of unit <arg fmt="%s" index="1">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].i_sum_reg</arg>, both signals <arg fmt="%s" index="2">opt_has_pipe.first_q<35></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].qsum_seg<36></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">opt_has_pipe.first_q<35></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="1303" delta="old" >From in and out of unit <arg fmt="%s" index="1">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].i_sum_reg</arg>, both signals <arg fmt="%s" index="2">opt_has_pipe.first_q<34></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].qsum_seg<35></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">opt_has_pipe.first_q<34></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="1303" delta="old" >From in and out of unit <arg fmt="%s" index="1">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].i_sum_reg</arg>, both signals <arg fmt="%s" index="2">opt_has_pipe.first_q<33></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].qsum_seg<34></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">opt_has_pipe.first_q<33></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="1303" delta="old" >From in and out of unit <arg fmt="%s" index="1">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].i_sum_reg</arg>, both signals <arg fmt="%s" index="2">opt_has_pipe.first_q<32></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].qsum_seg<33></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">opt_has_pipe.first_q<32></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="1303" delta="old" >From in and out of unit <arg fmt="%s" index="1">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].i_sum_reg</arg>, both signals <arg fmt="%s" index="2">opt_has_pipe.first_q<31></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].qsum_seg<32></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">opt_has_pipe.first_q<31></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="1303" delta="old" >From in and out of unit <arg fmt="%s" index="1">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].i_sum_reg</arg>, both signals <arg fmt="%s" index="2">opt_has_pipe.first_q<30></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].qsum_seg<31></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">opt_has_pipe.first_q<30></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="1303" delta="old" >From in and out of unit <arg fmt="%s" index="1">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].i_sum_reg</arg>, both signals <arg fmt="%s" index="2">opt_has_pipe.first_q<29></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].qsum_seg<30></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">opt_has_pipe.first_q<29></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="1303" delta="old" >From in and out of unit <arg fmt="%s" index="1">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].i_sum_reg</arg>, both signals <arg fmt="%s" index="2">opt_has_pipe.first_q<28></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].qsum_seg<29></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">opt_has_pipe.first_q<28></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="1303" delta="old" >From in and out of unit <arg fmt="%s" index="1">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].i_sum_reg</arg>, both signals <arg fmt="%s" index="2">opt_has_pipe.first_q<27></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].qsum_seg<28></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">opt_has_pipe.first_q<27></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="1303" delta="old" >From in and out of unit <arg fmt="%s" index="1">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].i_sum_reg</arg>, both signals <arg fmt="%s" index="2">opt_has_pipe.first_q<26></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].qsum_seg<27></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">opt_has_pipe.first_q<26></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="1303" delta="old" >From in and out of unit <arg fmt="%s" index="1">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].i_sum_reg</arg>, both signals <arg fmt="%s" index="2">opt_has_pipe.first_q<25></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].qsum_seg<26></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">opt_has_pipe.first_q<25></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="1303" delta="old" >From in and out of unit <arg fmt="%s" index="1">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].i_sum_reg</arg>, both signals <arg fmt="%s" index="2">opt_has_pipe.first_q<24></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].qsum_seg<25></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">opt_has_pipe.first_q<24></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="1303" delta="old" >From in and out of unit <arg fmt="%s" index="1">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].i_sum_reg</arg>, both signals <arg fmt="%s" index="2">opt_has_pipe.first_q<23></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].qsum_seg<24></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">opt_has_pipe.first_q<23></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="1303" delta="old" >From in and out of unit <arg fmt="%s" index="1">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].i_sum_reg</arg>, both signals <arg fmt="%s" index="2">opt_has_pipe.first_q<22></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].qsum_seg<23></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">opt_has_pipe.first_q<22></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="1303" delta="old" >From in and out of unit <arg fmt="%s" index="1">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].i_sum_reg</arg>, both signals <arg fmt="%s" index="2">opt_has_pipe.first_q<21></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].qsum_seg<22></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">opt_has_pipe.first_q<21></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="1303" delta="old" >From in and out of unit <arg fmt="%s" index="1">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].i_sum_reg</arg>, both signals <arg fmt="%s" index="2">opt_has_pipe.first_q<20></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].qsum_seg<21></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">opt_has_pipe.first_q<20></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="1303" delta="old" >From in and out of unit <arg fmt="%s" index="1">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].i_sum_reg</arg>, both signals <arg fmt="%s" index="2">opt_has_pipe.first_q<19></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].qsum_seg<20></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">opt_has_pipe.first_q<19></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="1303" delta="old" >From in and out of unit <arg fmt="%s" index="1">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].i_sum_reg</arg>, both signals <arg fmt="%s" index="2">opt_has_pipe.first_q<18></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].qsum_seg<19></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">opt_has_pipe.first_q<18></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="1303" delta="old" >From in and out of unit <arg fmt="%s" index="1">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].i_sum_reg</arg>, both signals <arg fmt="%s" index="2">opt_has_pipe.first_q<17></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].qsum_seg<18></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">opt_has_pipe.first_q<17></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="1303" delta="old" >From in and out of unit <arg fmt="%s" index="1">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].i_sum_reg</arg>, both signals <arg fmt="%s" index="2">opt_has_pipe.first_q<16></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].qsum_seg<17></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">opt_has_pipe.first_q<16></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="1303" delta="old" >From in and out of unit <arg fmt="%s" index="1">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].i_sum_reg</arg>, both signals <arg fmt="%s" index="2">opt_has_pipe.first_q<15></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].qsum_seg<16></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">opt_has_pipe.first_q<15></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="1303" delta="old" >From in and out of unit <arg fmt="%s" index="1">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].i_sum_reg</arg>, both signals <arg fmt="%s" index="2">opt_has_pipe.first_q<14></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].qsum_seg<15></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">opt_has_pipe.first_q<14></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="1303" delta="old" >From in and out of unit <arg fmt="%s" index="1">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].i_sum_reg</arg>, both signals <arg fmt="%s" index="2">opt_has_pipe.first_q<13></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].qsum_seg<14></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">opt_has_pipe.first_q<13></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="1303" delta="old" >From in and out of unit <arg fmt="%s" index="1">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].i_sum_reg</arg>, both signals <arg fmt="%s" index="2">opt_has_pipe.first_q<12></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].qsum_seg<13></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">opt_has_pipe.first_q<12></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="1303" delta="old" >From in and out of unit <arg fmt="%s" index="1">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].i_sum_reg</arg>, both signals <arg fmt="%s" index="2">opt_has_pipe.first_q<11></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].qsum_seg<12></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">opt_has_pipe.first_q<11></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="1303" delta="old" >From in and out of unit <arg fmt="%s" index="1">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].i_sum_reg</arg>, both signals <arg fmt="%s" index="2">opt_has_pipe.first_q<10></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].qsum_seg<11></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">opt_has_pipe.first_q<10></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="1303" delta="old" >From in and out of unit <arg fmt="%s" index="1">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].i_sum_reg</arg>, both signals <arg fmt="%s" index="2">opt_has_pipe.first_q<9></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].qsum_seg<10></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">opt_has_pipe.first_q<9></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="1303" delta="old" >From in and out of unit <arg fmt="%s" index="1">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].i_sum_reg</arg>, both signals <arg fmt="%s" index="2">opt_has_pipe.first_q<8></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].qsum_seg<9></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">opt_has_pipe.first_q<8></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="1303" delta="old" >From in and out of unit <arg fmt="%s" index="1">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].i_sum_reg</arg>, both signals <arg fmt="%s" index="2">opt_has_pipe.first_q<7></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].qsum_seg<8></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">opt_has_pipe.first_q<7></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="1303" delta="old" >From in and out of unit <arg fmt="%s" index="1">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].i_sum_reg</arg>, both signals <arg fmt="%s" index="2">opt_has_pipe.first_q<6></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].qsum_seg<7></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">opt_has_pipe.first_q<6></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="1303" delta="old" >From in and out of unit <arg fmt="%s" index="1">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].i_sum_reg</arg>, both signals <arg fmt="%s" index="2">opt_has_pipe.first_q<5></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].qsum_seg<6></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">opt_has_pipe.first_q<5></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="1303" delta="old" >From in and out of unit <arg fmt="%s" index="1">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].i_sum_reg</arg>, both signals <arg fmt="%s" index="2">opt_has_pipe.first_q<4></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].qsum_seg<5></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">opt_has_pipe.first_q<4></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="1303" delta="old" >From in and out of unit <arg fmt="%s" index="1">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].i_sum_reg</arg>, both signals <arg fmt="%s" index="2">opt_has_pipe.first_q<3></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].qsum_seg<4></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">opt_has_pipe.first_q<3></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="1303" delta="old" >From in and out of unit <arg fmt="%s" index="1">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].i_sum_reg</arg>, both signals <arg fmt="%s" index="2">opt_has_pipe.first_q<2></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].qsum_seg<3></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">opt_has_pipe.first_q<2></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="1303" delta="old" >From in and out of unit <arg fmt="%s" index="1">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].i_sum_reg</arg>, both signals <arg fmt="%s" index="2">opt_has_pipe.first_q<1></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].qsum_seg<2></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">opt_has_pipe.first_q<1></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="1303" delta="old" >From in and out of unit <arg fmt="%s" index="1">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].i_sum_reg</arg>, both signals <arg fmt="%s" index="2">opt_has_pipe.first_q<0></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].qsum_seg<1></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">opt_has_pipe.first_q<0></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="1303" delta="old" >From in and out of unit <arg fmt="%s" index="1">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].i_sum_reg</arg>, both signals <arg fmt="%s" index="2">opt_has_pipe.first_q<35></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].qsum_seg<36></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">opt_has_pipe.first_q<35></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="1303" delta="old" >From in and out of unit <arg fmt="%s" index="1">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].i_sum_reg</arg>, both signals <arg fmt="%s" index="2">opt_has_pipe.first_q<34></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].qsum_seg<35></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">opt_has_pipe.first_q<34></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="1303" delta="old" >From in and out of unit <arg fmt="%s" index="1">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].i_sum_reg</arg>, both signals <arg fmt="%s" index="2">opt_has_pipe.first_q<33></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].qsum_seg<34></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">opt_has_pipe.first_q<33></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="1303" delta="old" >From in and out of unit <arg fmt="%s" index="1">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].i_sum_reg</arg>, both signals <arg fmt="%s" index="2">opt_has_pipe.first_q<32></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].qsum_seg<33></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">opt_has_pipe.first_q<32></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="1303" delta="old" >From in and out of unit <arg fmt="%s" index="1">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].i_sum_reg</arg>, both signals <arg fmt="%s" index="2">opt_has_pipe.first_q<31></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].qsum_seg<32></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">opt_has_pipe.first_q<31></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="1303" delta="old" >From in and out of unit <arg fmt="%s" index="1">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].i_sum_reg</arg>, both signals <arg fmt="%s" index="2">opt_has_pipe.first_q<30></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].qsum_seg<31></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">opt_has_pipe.first_q<30></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="1303" delta="old" >From in and out of unit <arg fmt="%s" index="1">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].i_sum_reg</arg>, both signals <arg fmt="%s" index="2">opt_has_pipe.first_q<29></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].qsum_seg<30></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">opt_has_pipe.first_q<29></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="1303" delta="old" >From in and out of unit <arg fmt="%s" index="1">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].i_sum_reg</arg>, both signals <arg fmt="%s" index="2">opt_has_pipe.first_q<28></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].qsum_seg<29></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">opt_has_pipe.first_q<28></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="1303" delta="old" >From in and out of unit <arg fmt="%s" index="1">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].i_sum_reg</arg>, both signals <arg fmt="%s" index="2">opt_has_pipe.first_q<27></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].qsum_seg<28></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">opt_has_pipe.first_q<27></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="1303" delta="old" >From in and out of unit <arg fmt="%s" index="1">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].i_sum_reg</arg>, both signals <arg fmt="%s" index="2">opt_has_pipe.first_q<26></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].qsum_seg<27></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">opt_has_pipe.first_q<26></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="1303" delta="old" >From in and out of unit <arg fmt="%s" index="1">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].i_sum_reg</arg>, both signals <arg fmt="%s" index="2">opt_has_pipe.first_q<25></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].qsum_seg<26></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">opt_has_pipe.first_q<25></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="1303" delta="old" >From in and out of unit <arg fmt="%s" index="1">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].i_sum_reg</arg>, both signals <arg fmt="%s" index="2">opt_has_pipe.first_q<24></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].qsum_seg<25></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">opt_has_pipe.first_q<24></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="1303" delta="old" >From in and out of unit <arg fmt="%s" index="1">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].i_sum_reg</arg>, both signals <arg fmt="%s" index="2">opt_has_pipe.first_q<23></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].qsum_seg<24></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">opt_has_pipe.first_q<23></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="1303" delta="old" >From in and out of unit <arg fmt="%s" index="1">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].i_sum_reg</arg>, both signals <arg fmt="%s" index="2">opt_has_pipe.first_q<22></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].qsum_seg<23></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">opt_has_pipe.first_q<22></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="1303" delta="old" >From in and out of unit <arg fmt="%s" index="1">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].i_sum_reg</arg>, both signals <arg fmt="%s" index="2">opt_has_pipe.first_q<21></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].qsum_seg<22></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">opt_has_pipe.first_q<21></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="1303" delta="old" >From in and out of unit <arg fmt="%s" index="1">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].i_sum_reg</arg>, both signals <arg fmt="%s" index="2">opt_has_pipe.first_q<20></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].qsum_seg<21></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">opt_has_pipe.first_q<20></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="1303" delta="old" >From in and out of unit <arg fmt="%s" index="1">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].i_sum_reg</arg>, both signals <arg fmt="%s" index="2">opt_has_pipe.first_q<19></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].qsum_seg<20></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">opt_has_pipe.first_q<19></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="1303" delta="old" >From in and out of unit <arg fmt="%s" index="1">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].i_sum_reg</arg>, both signals <arg fmt="%s" index="2">opt_has_pipe.first_q<18></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].qsum_seg<19></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">opt_has_pipe.first_q<18></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="1303" delta="old" >From in and out of unit <arg fmt="%s" index="1">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].i_sum_reg</arg>, both signals <arg fmt="%s" index="2">opt_has_pipe.first_q<17></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].qsum_seg<18></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">opt_has_pipe.first_q<17></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="1303" delta="old" >From in and out of unit <arg fmt="%s" index="1">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].i_sum_reg</arg>, both signals <arg fmt="%s" index="2">opt_has_pipe.first_q<16></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].qsum_seg<17></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">opt_has_pipe.first_q<16></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="1303" delta="old" >From in and out of unit <arg fmt="%s" index="1">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].i_sum_reg</arg>, both signals <arg fmt="%s" index="2">opt_has_pipe.first_q<15></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].qsum_seg<16></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">opt_has_pipe.first_q<15></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="1303" delta="old" >From in and out of unit <arg fmt="%s" index="1">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].i_sum_reg</arg>, both signals <arg fmt="%s" index="2">opt_has_pipe.first_q<14></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].qsum_seg<15></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">opt_has_pipe.first_q<14></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="1303" delta="old" >From in and out of unit <arg fmt="%s" index="1">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].i_sum_reg</arg>, both signals <arg fmt="%s" index="2">opt_has_pipe.first_q<13></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].qsum_seg<14></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">opt_has_pipe.first_q<13></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="1303" delta="old" >From in and out of unit <arg fmt="%s" index="1">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].i_sum_reg</arg>, both signals <arg fmt="%s" index="2">opt_has_pipe.first_q<12></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].qsum_seg<13></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">opt_has_pipe.first_q<12></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="1303" delta="old" >From in and out of unit <arg fmt="%s" index="1">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].i_sum_reg</arg>, both signals <arg fmt="%s" index="2">opt_has_pipe.first_q<11></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].qsum_seg<12></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">opt_has_pipe.first_q<11></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="1303" delta="old" >From in and out of unit <arg fmt="%s" index="1">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].i_sum_reg</arg>, both signals <arg fmt="%s" index="2">opt_has_pipe.first_q<10></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].qsum_seg<11></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">opt_has_pipe.first_q<10></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="1303" delta="old" >From in and out of unit <arg fmt="%s" index="1">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].i_sum_reg</arg>, both signals <arg fmt="%s" index="2">opt_has_pipe.first_q<9></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].qsum_seg<10></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">opt_has_pipe.first_q<9></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="1303" delta="old" >From in and out of unit <arg fmt="%s" index="1">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].i_sum_reg</arg>, both signals <arg fmt="%s" index="2">opt_has_pipe.first_q<8></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].qsum_seg<9></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">opt_has_pipe.first_q<8></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="1303" delta="old" >From in and out of unit <arg fmt="%s" index="1">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].i_sum_reg</arg>, both signals <arg fmt="%s" index="2">opt_has_pipe.first_q<7></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].qsum_seg<8></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">opt_has_pipe.first_q<7></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="1303" delta="old" >From in and out of unit <arg fmt="%s" index="1">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].i_sum_reg</arg>, both signals <arg fmt="%s" index="2">opt_has_pipe.first_q<6></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].qsum_seg<7></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">opt_has_pipe.first_q<6></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="1303" delta="old" >From in and out of unit <arg fmt="%s" index="1">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].i_sum_reg</arg>, both signals <arg fmt="%s" index="2">opt_has_pipe.first_q<5></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].qsum_seg<6></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">opt_has_pipe.first_q<5></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="1303" delta="old" >From in and out of unit <arg fmt="%s" index="1">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].i_sum_reg</arg>, both signals <arg fmt="%s" index="2">opt_has_pipe.first_q<4></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].qsum_seg<5></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">opt_has_pipe.first_q<4></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="1303" delta="old" >From in and out of unit <arg fmt="%s" index="1">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].i_sum_reg</arg>, both signals <arg fmt="%s" index="2">opt_has_pipe.first_q<3></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].qsum_seg<4></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">opt_has_pipe.first_q<3></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="1303" delta="old" >From in and out of unit <arg fmt="%s" index="1">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].i_sum_reg</arg>, both signals <arg fmt="%s" index="2">opt_has_pipe.first_q<2></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].qsum_seg<3></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">opt_has_pipe.first_q<2></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="1303" delta="old" >From in and out of unit <arg fmt="%s" index="1">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].i_sum_reg</arg>, both signals <arg fmt="%s" index="2">opt_has_pipe.first_q<1></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].qsum_seg<2></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">opt_has_pipe.first_q<1></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="1303" delta="old" >From in and out of unit <arg fmt="%s" index="1">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].i_sum_reg</arg>, both signals <arg fmt="%s" index="2">opt_has_pipe.first_q<0></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[1].qsum_seg<1></arg> have a KEEP attribute, signal <arg fmt="%s" index="4">opt_has_pipe.first_q<0></arg> will be lost. +</msg> + +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">U0/i_synth</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].b_seg<34></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].b_seg<33></arg> <arg fmt="%s" index="4">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].b_seg<33></arg> signal will be lost. +</msg> + +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">U0/i_synth</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].b_seg<33></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].b_seg<32></arg> <arg fmt="%s" index="4">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].b_seg<32></arg> signal will be lost. +</msg> + +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">U0/i_synth</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].b_seg<32></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].b_seg<31></arg> <arg fmt="%s" index="4">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].b_seg<31></arg> signal will be lost. +</msg> + +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">U0/i_synth</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].b_seg<31></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].b_seg<30></arg> <arg fmt="%s" index="4">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].b_seg<30></arg> signal will be lost. +</msg> + +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">U0/i_synth</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].b_seg<30></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].b_seg<29></arg> <arg fmt="%s" index="4">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].b_seg<29></arg> signal will be lost. +</msg> + +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">U0/i_synth</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].b_seg<29></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].b_seg<28></arg> <arg fmt="%s" index="4">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].b_seg<28></arg> signal will be lost. +</msg> + +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">U0/i_synth</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].b_seg<28></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].b_seg<27></arg> <arg fmt="%s" index="4">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].b_seg<27></arg> signal will be lost. +</msg> + +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">U0/i_synth</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].b_seg<27></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].b_seg<26></arg> <arg fmt="%s" index="4">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].b_seg<26></arg> signal will be lost. +</msg> + +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">U0/i_synth</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].b_seg<26></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].b_seg<25></arg> <arg fmt="%s" index="4">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].b_seg<25></arg> signal will be lost. +</msg> + +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">U0/i_synth</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].b_seg<25></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].b_seg<24></arg> <arg fmt="%s" index="4">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].b_seg<24></arg> signal will be lost. +</msg> + +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">U0/i_synth</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].b_seg<24></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].b_seg<23></arg> <arg fmt="%s" index="4">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].b_seg<23></arg> signal will be lost. +</msg> + +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">U0/i_synth</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].b_seg<23></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].b_seg<22></arg> <arg fmt="%s" index="4">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].b_seg<22></arg> signal will be lost. +</msg> + +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">U0/i_synth</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].b_seg<22></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].b_seg<21></arg> <arg fmt="%s" index="4">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].b_seg<21></arg> signal will be lost. +</msg> + +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">U0/i_synth</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].b_seg<21></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].b_seg<20></arg> <arg fmt="%s" index="4">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].b_seg<20></arg> signal will be lost. +</msg> + +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">U0/i_synth</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].b_seg<20></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].b_seg<19></arg> <arg fmt="%s" index="4">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].b_seg<19></arg> signal will be lost. +</msg> + +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">U0/i_synth</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].b_seg<19></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].b_seg<18></arg> <arg fmt="%s" index="4">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].b_seg<18></arg> signal will be lost. +</msg> + +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">U0/i_synth</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].b_seg<18></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].b_seg<17></arg> <arg fmt="%s" index="4">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].b_seg<17></arg> signal will be lost. +</msg> + +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">U0/i_synth</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].b_seg<17></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].b_seg<16></arg> <arg fmt="%s" index="4">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].b_seg<16></arg> signal will be lost. +</msg> + +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">U0/i_synth</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].b_seg<16></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].b_seg<15></arg> <arg fmt="%s" index="4">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].b_seg<15></arg> signal will be lost. +</msg> + +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">U0/i_synth</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].b_seg<15></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].b_seg<14></arg> <arg fmt="%s" index="4">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].b_seg<14></arg> signal will be lost. +</msg> + +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">U0/i_synth</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].b_seg<14></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].b_seg<13></arg> <arg fmt="%s" index="4">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].b_seg<13></arg> signal will be lost. +</msg> + +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">U0/i_synth</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].b_seg<13></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].b_seg<12></arg> <arg fmt="%s" index="4">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].b_seg<12></arg> signal will be lost. +</msg> + +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">U0/i_synth</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].b_seg<12></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].b_seg<11></arg> <arg fmt="%s" index="4">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].b_seg<11></arg> signal will be lost. +</msg> + +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">U0/i_synth</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].b_seg<11></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].b_seg<10></arg> <arg fmt="%s" index="4">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].b_seg<10></arg> signal will be lost. +</msg> + +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">U0/i_synth</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].b_seg<10></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].b_seg<9></arg> <arg fmt="%s" index="4">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].b_seg<9></arg> signal will be lost. +</msg> + +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">U0/i_synth</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].b_seg<10></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].b_seg<8></arg> <arg fmt="%s" index="4">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].b_seg<8></arg> signal will be lost. +</msg> + +<msg type="warning" file="Xst" num="638" delta="old" >in unit <arg fmt="%s" index="1">U0/i_synth</arg> Conflict on KEEP property on signal <arg fmt="%s" index="2">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].b_seg<10></arg> and <arg fmt="%s" index="3">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].b_seg<7></arg> <arg fmt="%s" index="4">dsp_implementation.use_multaccum_dsp/i_sp3adsp.mult_accum_implementation.accum_inst/piped_fabric_implementation.i_fab_pipe_accum/i_accum_seg[2].b_seg<7></arg> signal will be lost. </msg> <msg type="info" file="Xst" num="2169" delta="old" >HDL ADVISOR - Some clock signals were not automatically buffered by XST with BUFG/BUFR resources. Please use the buffer_type constraint in order to insert these buffers to the clock signals to help prevent skew problems. diff --git a/stitch_project/stitch/stitch.gise b/stitch_project/stitch/stitch.gise index 3f8d492b46fa22445fb800444ea303ae8348a6e0..3c41dc10b3f088fb035f52ef84f47a8fff593bd4 100644 --- a/stitch_project/stitch/stitch.gise +++ b/stitch_project/stitch/stitch.gise @@ -145,7 +145,7 @@ <status xil_pn:value="SuccessfullyRun"/> <status xil_pn:value="ReadyToRun"/> </transform> - <transform xil_pn:end_ts="1724683435" xil_pn:in_ck="-759697606739902482" xil_pn:name="TRAN_regenerateCores" xil_pn:prop_ck="-2646617551451894976" xil_pn:start_ts="1724683433"> + <transform xil_pn:end_ts="1719627900" xil_pn:in_ck="-759697606739902482" xil_pn:name="TRAN_regenerateCores" xil_pn:prop_ck="-2646617551451894976" xil_pn:start_ts="1719627898"> <status xil_pn:value="SuccessfullyRun"/> <status xil_pn:value="ReadyToRun"/> <outfile xil_pn:name="ipcore_dir/Mem32b1024.ngc"/> @@ -177,14 +177,12 @@ <status xil_pn:value="SuccessfullyRun"/> <status xil_pn:value="ReadyToRun"/> </transform> - <transform xil_pn:end_ts="1724683484" xil_pn:in_ck="5188678245468887340" xil_pn:name="TRANEXT_xstsynthesize_spartan6" xil_pn:prop_ck="-6684372598054868263" xil_pn:start_ts="1724683435"> + <transform xil_pn:end_ts="1719627909" xil_pn:in_ck="5188678245468887340" xil_pn:name="TRANEXT_xstsynthesize_spartan6" xil_pn:prop_ck="-6684372598054868263" xil_pn:start_ts="1719627900"> <status xil_pn:value="SuccessfullyRun"/> <status xil_pn:value="WarningsGenerated"/> <status xil_pn:value="ReadyToRun"/> <status xil_pn:value="OutOfDateForOutputs"/> <status xil_pn:value="OutputChanged"/> - <outfile xil_pn:name="CommandDecoder.ngr"/> - <outfile xil_pn:name="ReadbackControl.ngr"/> <outfile xil_pn:name="Stitch.lso"/> <outfile xil_pn:name="Stitch.ngc"/> <outfile xil_pn:name="Stitch.ngr"/> @@ -193,7 +191,6 @@ <outfile xil_pn:name="Stitch.syr"/> <outfile xil_pn:name="Stitch.xst"/> <outfile xil_pn:name="Stitch_xst.xrpt"/> - <outfile xil_pn:name="Sweeper.ngr"/> <outfile xil_pn:name="_xmsgs/xst.xmsgs"/> <outfile xil_pn:name="webtalk_pn.xml"/> <outfile xil_pn:name="xst"/> @@ -202,7 +199,7 @@ <status xil_pn:value="SuccessfullyRun"/> <status xil_pn:value="ReadyToRun"/> </transform> - <transform xil_pn:end_ts="1724683504" xil_pn:in_ck="3802728747819461154" xil_pn:name="TRANEXT_ngdbuild_FPGA" xil_pn:prop_ck="230146358404850379" xil_pn:start_ts="1724683484"> + <transform xil_pn:end_ts="1719627913" xil_pn:in_ck="-9033868516707283598" xil_pn:name="TRANEXT_ngdbuild_FPGA" xil_pn:prop_ck="230146358404850379" xil_pn:start_ts="1719627909"> <status xil_pn:value="SuccessfullyRun"/> <status xil_pn:value="ReadyToRun"/> <outfile xil_pn:name="Stitch.bld"/> @@ -211,7 +208,7 @@ <outfile xil_pn:name="_ngo"/> <outfile xil_pn:name="_xmsgs/ngdbuild.xmsgs"/> </transform> - <transform xil_pn:end_ts="1724683600" xil_pn:in_ck="-3282794330040821884" xil_pn:name="TRANEXT_map_spartan6" xil_pn:prop_ck="-398923363910175968" xil_pn:start_ts="1724683504"> + <transform xil_pn:end_ts="1719627928" xil_pn:in_ck="-4325218870543059951" xil_pn:name="TRANEXT_map_spartan6" xil_pn:prop_ck="-398923363910175968" xil_pn:start_ts="1719627913"> <status xil_pn:value="SuccessfullyRun"/> <status xil_pn:value="WarningsGenerated"/> <status xil_pn:value="ReadyToRun"/> @@ -225,7 +222,7 @@ <outfile xil_pn:name="Stitch_usage.xml"/> <outfile xil_pn:name="_xmsgs/map.xmsgs"/> </transform> - <transform xil_pn:end_ts="1724683693" xil_pn:in_ck="-8255871056631862401" xil_pn:name="TRANEXT_par_spartan6" xil_pn:prop_ck="-3829590541433901613" xil_pn:start_ts="1724683600"> + <transform xil_pn:end_ts="1719627946" xil_pn:in_ck="-6627939747938971286" xil_pn:name="TRANEXT_par_spartan6" xil_pn:prop_ck="-3829590541433901613" xil_pn:start_ts="1719627928"> <status xil_pn:value="SuccessfullyRun"/> <status xil_pn:value="ReadyToRun"/> <outfile xil_pn:name="Stitch.ncd"/> @@ -239,7 +236,7 @@ <outfile xil_pn:name="Stitch_par.xrpt"/> <outfile xil_pn:name="_xmsgs/par.xmsgs"/> </transform> - <transform xil_pn:end_ts="1724683729" xil_pn:in_ck="6552744863914881674" xil_pn:name="TRANEXT_bitFile_spartan6" xil_pn:prop_ck="4970201210546912173" xil_pn:start_ts="1724683693"> + <transform xil_pn:end_ts="1719627952" xil_pn:in_ck="4019925351390994" xil_pn:name="TRANEXT_bitFile_spartan6" xil_pn:prop_ck="4970201210546912173" xil_pn:start_ts="1719627946"> <status xil_pn:value="SuccessfullyRun"/> <status xil_pn:value="WarningsGenerated"/> <status xil_pn:value="ReadyToRun"/> @@ -252,7 +249,7 @@ <outfile xil_pn:name="webtalk.log"/> <outfile xil_pn:name="webtalk_pn.xml"/> </transform> - <transform xil_pn:end_ts="1724683693" xil_pn:in_ck="3901311056773105669" xil_pn:name="TRAN_postRouteTrce" xil_pn:prop_ck="445577401284416184" xil_pn:start_ts="1724683670"> + <transform xil_pn:end_ts="1719627946" xil_pn:in_ck="-4527387296597967219" xil_pn:name="TRAN_postRouteTrce" xil_pn:prop_ck="445577401284416184" xil_pn:start_ts="1719627941"> <status xil_pn:value="SuccessfullyRun"/> <status xil_pn:value="ReadyToRun"/> <outfile xil_pn:name="Stitch.twr"/> diff --git a/stitch_project/stitch/xst/work/work.vdbl b/stitch_project/stitch/xst/work/work.vdbl index 36446db5c07a22830d8d6a161c0f7396268800d2..b0761ba5961f4c170a2eed10f7254846f42e3198 100644 Binary files a/stitch_project/stitch/xst/work/work.vdbl and b/stitch_project/stitch/xst/work/work.vdbl differ diff --git a/stitch_project/stitch/xst/work/work.vdbx b/stitch_project/stitch/xst/work/work.vdbx index 75b62972ab8775a3b8598b53c71b21057a8a28b2..8689d7617ca22abe2571f8495c11877dfbc04871 100644 Binary files a/stitch_project/stitch/xst/work/work.vdbx and b/stitch_project/stitch/xst/work/work.vdbx differ